diff --git a/Audio/CW/0.ambe b/Audio/CW/0.ambe new file mode 100644 index 0000000..8a5afb6 Binary files /dev/null and b/Audio/CW/0.ambe differ diff --git a/Audio/CW/1.ambe b/Audio/CW/1.ambe new file mode 100644 index 0000000..55693cc Binary files /dev/null and b/Audio/CW/1.ambe differ diff --git a/Audio/CW/2.ambe b/Audio/CW/2.ambe new file mode 100644 index 0000000..d4e92e0 Binary files /dev/null and b/Audio/CW/2.ambe differ diff --git a/Audio/CW/3.ambe b/Audio/CW/3.ambe new file mode 100644 index 0000000..0f7381c Binary files /dev/null and b/Audio/CW/3.ambe differ diff --git a/Audio/CW/4.ambe b/Audio/CW/4.ambe new file mode 100644 index 0000000..539b3c1 Binary files /dev/null and b/Audio/CW/4.ambe differ diff --git a/Audio/CW/5.ambe b/Audio/CW/5.ambe new file mode 100644 index 0000000..cae48b5 Binary files /dev/null and b/Audio/CW/5.ambe differ diff --git a/Audio/CW/6.ambe b/Audio/CW/6.ambe new file mode 100644 index 0000000..0fb092e --- /dev/null +++ b/Audio/CW/6.ambe @@ -0,0 +1 @@ +E0T{L8Q D D D D DΊ̈Ί̈ȼ DxyF5ܵ_+'y D Dqi1 OH%?e:J7]sE HHHIS1f?CGL WGn\wU#r缎 D Dx!櫍9[! X,HHHIHI%}~eAY"ձ5 9#}I*A{.x \ No newline at end of file diff --git a/Audio/CW/7.ambe b/Audio/CW/7.ambe new file mode 100644 index 0000000..0d12e81 Binary files /dev/null and b/Audio/CW/7.ambe differ diff --git a/Audio/CW/8.ambe b/Audio/CW/8.ambe new file mode 100644 index 0000000..7739868 --- /dev/null +++ b/Audio/CW/8.ambe @@ -0,0 +1 @@ +s! GD!01 D D D D DΊ̈Ί̈ȼ D#ufԹV]q k D D D D DΊ̈Ί̈Ί̈rUrn G{i&󼎨 D D D DΊ̈Ί̈Ί̈ȼ D1>g:Xh5o D Divkۄ\/< {3 Τ[[S'󸨌HIHIQ6WߖIVIaěT6=llgըV<͘5P&;@:$݀ \ No newline at end of file diff --git a/Audio/CW/9.ambe b/Audio/CW/9.ambe new file mode 100644 index 0000000..543db72 Binary files /dev/null and b/Audio/CW/9.ambe differ diff --git a/Audio/CW/A.ambe b/Audio/CW/A.ambe new file mode 100644 index 0000000..0325ca4 Binary files /dev/null and b/Audio/CW/A.ambe differ diff --git a/Audio/CW/B.ambe b/Audio/CW/B.ambe new file mode 100644 index 0000000..c935652 --- /dev/null +++ b/Audio/CW/B.ambe @@ -0,0 +1 @@ +?'"NC D D D D DΊ̈Ί̈ȼ D11-c* D D7d4 /(ZU??7HHHIۆ"[c R hb2Ҽ D Dh5㵲剝*&Wm"g[O[F88eZLVyi}e[Xt \ No newline at end of file diff --git a/Audio/CW/C.ambe b/Audio/CW/C.ambe new file mode 100644 index 0000000..1a9957b Binary files /dev/null and b/Audio/CW/C.ambe differ diff --git a/Audio/CW/D.ambe b/Audio/CW/D.ambe new file mode 100644 index 0000000..272c4f2 Binary files /dev/null and b/Audio/CW/D.ambe differ diff --git a/Audio/CW/E.ambe b/Audio/CW/E.ambe new file mode 100644 index 0000000..936c502 --- /dev/null +++ b/Audio/CW/E.ambe @@ -0,0 +1 @@ +'ɟVULm19B D DS[kK  ^nR ر*%L|p9O},Zئ \ No newline at end of file diff --git a/Audio/CW/F.ambe b/Audio/CW/F.ambe new file mode 100644 index 0000000..6073291 Binary files /dev/null and b/Audio/CW/F.ambe differ diff --git a/Audio/CW/G.ambe b/Audio/CW/G.ambe new file mode 100644 index 0000000..88f244b Binary files /dev/null and b/Audio/CW/G.ambe differ diff --git a/Audio/CW/H.ambe b/Audio/CW/H.ambe new file mode 100644 index 0000000..57a44b1 Binary files /dev/null and b/Audio/CW/H.ambe differ diff --git a/Audio/CW/I.ambe b/Audio/CW/I.ambe new file mode 100644 index 0000000..7f5dc20 Binary files /dev/null and b/Audio/CW/I.ambe differ diff --git a/Audio/CW/J.ambe b/Audio/CW/J.ambe new file mode 100644 index 0000000..28de482 Binary files /dev/null and b/Audio/CW/J.ambe differ diff --git a/Audio/CW/K.ambe b/Audio/CW/K.ambe new file mode 100644 index 0000000..5148cb8 --- /dev/null +++ b/Audio/CW/K.ambe @@ -0,0 +1,3 @@ + b̄C]9s D D D D DΊ̈Ί̈ȼ D]ut2:F(h D Dcub.!Y|>FԮO ݥ{:c㸨HHHI D D D D D D +s6ܳY48Mjp}k/FWJD71 +G \ No newline at end of file diff --git a/Audio/CW/L.ambe b/Audio/CW/L.ambe new file mode 100644 index 0000000..fa67cf5 Binary files /dev/null and b/Audio/CW/L.ambe differ diff --git a/Audio/CW/M.ambe b/Audio/CW/M.ambe new file mode 100644 index 0000000..9336cb3 --- /dev/null +++ b/Audio/CW/M.ambe @@ -0,0 +1 @@ +2Iq" D D D D DΊ̈Ί̈ȼ D~t/{YOMq6Z ü D D D D DΊ̈Ί̈Ί̈ȕ֥2k> PC !>}p.>oYHnPO#s i!nwi \ No newline at end of file diff --git a/Audio/CW/N.ambe b/Audio/CW/N.ambe new file mode 100644 index 0000000..689d027 --- /dev/null +++ b/Audio/CW/N.ambe @@ -0,0 +1 @@ +OeˁH5竼 D D D D DΊ̈Ί̈ȼ D>6Q67K"W+CK D DcUr}ߡ|i@.d -ǕP?OD%6 D D D DΊ̈Ί̈Ί̈ȼ D[1t>Lj $@(qXa>'g8," \ No newline at end of file diff --git a/Audio/CW/P.ambe b/Audio/CW/P.ambe new file mode 100644 index 0000000..6646203 Binary files /dev/null and b/Audio/CW/P.ambe differ diff --git a/Audio/CW/Q.ambe b/Audio/CW/Q.ambe new file mode 100644 index 0000000..2bfba72 --- /dev/null +++ b/Audio/CW/Q.ambe @@ -0,0 +1 @@ +P/ҚuU"&2 D D D D DΊ̈Ί̈ȼ D+Uc1jEjXk D D D D DΊ̈Ί̈Ί̈Ѽ5zή  h![K#" D DmwYclz6A_ȝ*HHHI D D D D D D#yo/K9?"7l IJsmob&2]qcLO \ No newline at end of file diff --git a/Audio/CW/R.ambe b/Audio/CW/R.ambe new file mode 100644 index 0000000..2b78237 Binary files /dev/null and b/Audio/CW/R.ambe differ diff --git a/Audio/CW/S.ambe b/Audio/CW/S.ambe new file mode 100644 index 0000000..4945f0b Binary files /dev/null and b/Audio/CW/S.ambe differ diff --git a/Audio/CW/T.ambe b/Audio/CW/T.ambe new file mode 100644 index 0000000..65f6fa8 --- /dev/null +++ b/Audio/CW/T.ambe @@ -0,0 +1 @@ +̚B}" D D D D DΊ̈Ί̈ȼ D.+yۑUxũH7[4IDPyTg!ܨX#+x \ No newline at end of file diff --git a/Audio/CW/U.ambe b/Audio/CW/U.ambe new file mode 100644 index 0000000..9d034c7 Binary files /dev/null and b/Audio/CW/U.ambe differ diff --git a/Audio/CW/V.ambe b/Audio/CW/V.ambe new file mode 100644 index 0000000..7e5d86a Binary files /dev/null and b/Audio/CW/V.ambe differ diff --git a/Audio/CW/W.ambe b/Audio/CW/W.ambe new file mode 100644 index 0000000..10d3d19 Binary files /dev/null and b/Audio/CW/W.ambe differ diff --git a/Audio/CW/X.ambe b/Audio/CW/X.ambe new file mode 100644 index 0000000..34e05a0 --- /dev/null +++ b/Audio/CW/X.ambe @@ -0,0 +1,2 @@ +:[q5[ 5/{ D D D D DΊ̈Ί̈ȼ D/jrA+~ D DcuB-ΒOX +7*Y?3uHHHIJh;L6!]sg~[٨5.{ D D D DΊ̈Ί̈Ί̈ȼ D"w1/f+a:AG{le[JD s'e3\5qy# \ No newline at end of file diff --git a/Audio/CW/Y.ambe b/Audio/CW/Y.ambe new file mode 100644 index 0000000..2df92f6 --- /dev/null +++ b/Audio/CW/Y.ambe @@ -0,0 +1,2 @@ +Vέn&9C D D D D DΊ̈Ί̈ȼ Dvյ)"RR*𼎨 D Drur,MYھ2mT;{'󸨌HHHI D D D D D Dd&c#x +U$(K[YHHHI D D D D D D@Yo? z][M%k|+ej=\!  -'EșY{ \ No newline at end of file diff --git a/Audio/CW/Z.ambe b/Audio/CW/Z.ambe new file mode 100644 index 0000000..27f1e71 --- /dev/null +++ b/Audio/CW/Z.ambe @@ -0,0 +1 @@ +E@1Izwв䊼 D D D D DΊ̈Ί̈ȼ D=1"1i#E++K D D D D DΊ̈Ί̈Ί̈ȑq.mϮYJF7>yƼ D DΦ]gk\ygK;HHHIHIr߷kWIVֺqvv;/G?P% \ No newline at end of file diff --git a/Audio/CW/busy.ambe b/Audio/CW/busy.ambe new file mode 100644 index 0000000..c53d54f Binary files /dev/null and b/Audio/CW/busy.ambe differ diff --git a/Audio/CW/freedmr.ambe b/Audio/CW/freedmr.ambe new file mode 100644 index 0000000..e56b8d6 Binary files /dev/null and b/Audio/CW/freedmr.ambe differ diff --git a/Audio/CW/linked-to.ambe b/Audio/CW/linked-to.ambe new file mode 100644 index 0000000..6a887a4 Binary files /dev/null and b/Audio/CW/linked-to.ambe differ diff --git a/Audio/CW/not-linked.ambe b/Audio/CW/not-linked.ambe new file mode 100644 index 0000000..1840659 Binary files /dev/null and b/Audio/CW/not-linked.ambe differ diff --git a/Audio/CW/this-is.ambe b/Audio/CW/this-is.ambe new file mode 100644 index 0000000..6fff337 Binary files /dev/null and b/Audio/CW/this-is.ambe differ diff --git a/FreeDMR-SAMPLE-commented.cfg b/FreeDMR-SAMPLE-commented.cfg index 1e6ef12..5173b2b 100755 --- a/FreeDMR-SAMPLE-commented.cfg +++ b/FreeDMR-SAMPLE-commented.cfg @@ -47,7 +47,7 @@ TGID_TS1_ACL: PERMIT:ALL TGID_TS2_ACL: PERMIT:ALL GEN_STAT_BRIDGES: False ALLOW_NULL_PASSPHRASE: False -ANNOUNCEMENT_LANGUAGES: en_GB,en_GB_2,en_US,es_ES,es_ES_2,fr_FR,de_DE,dk_DK,it_IT,no_NO,pl_PL,se_SE,pt_PT +ANNOUNCEMENT_LANGUAGES: en_GB,en_GB_2,en_US,es_ES,es_ES_2,fr_FR,de_DE,dk_DK,it_IT,no_NO,pl_PL,se_SE,pt_PT,CW SERVER_ID: 0000 diff --git a/FreeDMR-SAMPLE.cfg b/FreeDMR-SAMPLE.cfg index 92a876a..0583b60 100755 --- a/FreeDMR-SAMPLE.cfg +++ b/FreeDMR-SAMPLE.cfg @@ -9,7 +9,7 @@ TGID_TS1_ACL: PERMIT:ALL TGID_TS2_ACL: PERMIT:ALL GEN_STAT_BRIDGES: True ALLOW_NULL_PASSPHRASE: True -ANNOUNCEMENT_LANGUAGES: en_GB,en_US,es_ES,fr_FR,de_DE,dk_DK,it_IT,no_NO,pl_PL,se_SE,pt_PT +ANNOUNCEMENT_LANGUAGES: en_GB,en_US,es_ES,fr_FR,de_DE,dk_DK,it_IT,no_NO,pl_PL,se_SE,pt_PT,CW SERVER_ID: 0000 [REPORTS] diff --git a/i8n_voice_map.py b/i8n_voice_map.py index 5e69db1..cf85fa1 100644 --- a/i8n_voice_map.py +++ b/i8n_voice_map.py @@ -64,7 +64,7 @@ voiceMap = { 'linkedto': 'linked-to' }, - 'en_US:': { + 'en_US': { 'to': '2', 'freedmr': 'silence', 'this-is': 'silence' @@ -251,7 +251,12 @@ voiceMap = { 'to': 'silence', 'freedmr': 'silence', 'this-is': 'silence' + }, + + 'CW': { + 'to': 'silence' } + }