SDRdaemon source: fixed decimation setting

This commit is contained in:
f4exb 2018-04-10 22:27:34 +02:00
parent 83efddee9a
commit 4dc99f7ad8
2 changed files with 2 additions and 2 deletions

View File

@ -313,7 +313,7 @@ void SDRdaemonSourceInput::applySettings(const SDRdaemonSourceSettings& settings
if (force || (m_settings.m_log2Decim != settings.m_log2Decim))
{
if (nbArgs > 0) os << ",";
os << "decim=" << m_settings.m_log2Decim;
os << "decim=" << settings.m_log2Decim;
nbArgs++;
}

View File

@ -26,7 +26,7 @@
const PluginDescriptor SDRdaemonSourcePlugin::m_pluginDescriptor = {
QString("SDRdaemon source input"),
QString("3.11.1"),
QString("3.14.2"),
QString("(c) Edouard Griffiths, F4EXB"),
QString("https://github.com/f4exb/sdrangel"),
true,