[abi_symbol_list] LZ4_decompress_safe PDE_DATA ___ratelimit __alloc_disk_node __alloc_pages_nodemask __alloc_percpu __alloc_percpu_gfp __alloc_skb __arch_clear_user __arch_copy_from_user __arch_copy_in_user __arch_copy_to_user __arm_smccc_hvc __arm_smccc_smc __bitmap_clear __bitmap_parse __bitmap_set __bitmap_subset __bitmap_weight __break_lease __cfg80211_alloc_event_skb __cfg80211_alloc_reply_skb __cfg80211_send_event_skb __cfi_slowpath __check_object_size __class_create __class_register __clk_determine_rate __clk_get_hw __clk_get_name __clk_is_enabled __clk_mux_determine_rate_closest __clocksource_register_scale __close_fd __const_udelay __cpu_online_mask __cpu_possible_mask __cpu_present_mask __cpuhp_remove_state __cpuhp_setup_state __cpuhp_setup_state_cpuslocked __dev_kfree_skb_any __dev_kfree_skb_irq __devm_alloc_percpu __devm_iio_device_register __devm_irq_alloc_descs __devm_of_phy_provider_register __devm_regmap_init __devm_regmap_init_i2c __devm_regmap_init_mmio_clk __devm_release_region __devm_request_region __devm_reset_control_get __drm_atomic_helper_connector_destroy_state __drm_atomic_helper_connector_duplicate_state __drm_atomic_helper_connector_reset __drm_atomic_helper_crtc_destroy_state __drm_atomic_helper_crtc_duplicate_state __drm_atomic_helper_plane_duplicate_state __drm_atomic_helper_private_obj_duplicate_state __drm_atomic_state_free __free_pages __get_free_pages __get_task_comm __get_vm_area __getblk_gfp __hrtimer_get_remaining __hwspin_lock_timeout __hwspin_unlock __iio_device_register __init_rwsem __init_waitqueue_head __ion_device_add_heap __ioread32_copy __ioremap __iowrite32_copy __ipv6_addr_type __irq_alloc_descs __irq_domain_add __irq_set_handler __kfifo_alloc __kfifo_free __kfifo_in __kfifo_init __kfifo_out __kfifo_out_peek __kfifo_to_user __kfree_skb __kmalloc __kthread_init_worker __list_add_valid __list_del_entry_valid __local_bh_enable_ip __lock_buffer __media_device_register __memcpy_fromio __memcpy_toio __memset_io __mmdrop __module_get __msecs_to_jiffies __mutex_init __napi_alloc_skb __napi_schedule __napi_schedule_irqoff __netdev_alloc_skb __netlink_kernel_create __nlmsg_put __num_online_cpus __pci_register_driver __per_cpu_offset __platform_driver_probe __platform_driver_register __platform_register_drivers __pm_relax __pm_runtime_disable __pm_runtime_idle __pm_runtime_resume __pm_runtime_set_status __pm_runtime_suspend __pm_runtime_use_autosuspend __pm_stay_awake __printk_ratelimit __pskb_pull_tail __put_cred __put_page __put_task_struct __raw_notifier_call_chain __rcu_read_lock __rcu_read_unlock __refrigerator __register_chrdev __register_rpmsg_driver __regmap_init __release_region __request_module __request_percpu_irq __request_region __sbitmap_queue_get __scsi_device_lookup_by_target __scsi_execute __scsi_print_sense __sdhci_add_host __sg_page_iter_next __sg_page_iter_start __skb_get_hash __skb_pad __spi_alloc_controller __spi_register_driver __spmi_driver_register __srcu_read_lock __srcu_read_unlock __stack_chk_fail __stack_chk_guard __sw_hweight32 __sw_hweight64 __sw_hweight8 __sync_dirty_buffer __task_pid_nr_ns __tasklet_hi_schedule __tasklet_schedule __trace_bprintk __trace_puts __tracepoint_android_vh_ipi_stop __tracepoint_android_vh_is_fpsimd_save __tracepoint_android_vh_kfree_skb __tracepoint_android_vh_printk_store __tracepoint_android_vh_ptype_head __tracepoint_android_vh_show_regs __tracepoint_android_vh_wq_lockup_pool __tracepoint_device_pm_callback_end __tracepoint_device_pm_callback_start __tracepoint_gpu_mem_total __tracepoint_kfree_skb __tracepoint_pelt_cfs_tp __tracepoint_suspend_resume __tty_alloc_driver __tty_insert_flip_char __udelay __uio_register_device __unregister_chrdev __usb_create_hcd __usecs_to_jiffies __v4l2_ctrl_modify_range __v4l2_ctrl_s_ctrl __video_register_device __wait_on_buffer __wake_up __wake_up_locked __warn_printk __xa_alloc _bcd2bin _bin2bcd _copy_from_iter_full _copy_to_iter _ctype _dev_crit _dev_emerg _dev_err _dev_info _dev_notice _dev_warn _raw_read_lock _raw_read_lock_bh _raw_read_lock_irq _raw_read_lock_irqsave _raw_read_unlock _raw_read_unlock_bh _raw_read_unlock_irq _raw_read_unlock_irqrestore _raw_spin_lock _raw_spin_lock_bh _raw_spin_lock_irq _raw_spin_lock_irqsave _raw_spin_trylock _raw_spin_trylock_bh _raw_spin_unlock _raw_spin_unlock_bh _raw_spin_unlock_irq _raw_spin_unlock_irqrestore _raw_write_lock _raw_write_lock_bh _raw_write_lock_irq _raw_write_lock_irqsave _raw_write_unlock _raw_write_unlock_bh _raw_write_unlock_irq _raw_write_unlock_irqrestore _snd_pcm_stream_lock_irqsave _totalram_pages add_device_randomness add_timer add_timer_on add_uevent_var add_wait_queue adjust_managed_page_count alarm_cancel alarm_init alarm_start alloc_chrdev_region alloc_etherdev_mqs alloc_io_pgtable_ops alloc_netdev_mqs alloc_pages_exact alloc_skb_with_frags alloc_workqueue amba_bustype amba_driver_register amba_driver_unregister anon_inode_getfd anon_inode_getfile arch_set_freq_scale arch_setup_dma_ops arch_timer_read_counter argv_free argv_split arm64_const_caps_ready atomic_notifier_call_chain atomic_notifier_chain_register atomic_notifier_chain_unregister autoremove_wake_function backlight_device_get_by_type backlight_device_register backlight_device_set_brightness backlight_device_unregister bcmp bin2hex bio_crypt_should_process bitmap_find_next_zero_area_off bitmap_free bitmap_parselist bitmap_to_arr32 bitmap_zalloc blk_execute_rq_nowait blk_get_request blk_lookup_devt blk_mq_bio_list_merge blk_mq_rq_cpu blk_mq_run_hw_queue blk_mq_sched_mark_restart_hctx blk_mq_sched_request_inserted blk_mq_sched_try_insert_merge blk_mq_sched_try_merge blk_put_request blk_queue_bounce_limit blk_queue_max_hw_sectors blk_queue_update_dma_alignment blk_rq_map_user blk_rq_map_user_iov blk_rq_unmap_user blk_stat_enable_accounting blk_verify_command blkdev_fsync blkdev_get_by_dev blkdev_get_by_path blkdev_put blocking_notifier_call_chain blocking_notifier_chain_register blocking_notifier_chain_unregister bpf_trace_run1 bpf_trace_run10 bpf_trace_run11 bpf_trace_run12 bpf_trace_run2 bpf_trace_run3 bpf_trace_run4 bpf_trace_run5 bpf_trace_run6 bpf_trace_run7 bpf_trace_run8 bpf_trace_run9 bsearch build_skb bus_find_device bus_for_each_dev bus_register bus_register_notifier bus_set_iommu bus_unregister bus_unregister_notifier cache_line_size call_rcu cancel_delayed_work cancel_delayed_work_sync cancel_work_sync capable cdc_parse_cdc_header cdev_add cdev_alloc cdev_del cdev_device_add cdev_device_del cdev_init cfg80211_ch_switch_notify cfg80211_chandef_create cfg80211_connect_done cfg80211_del_sta_sinfo cfg80211_disconnected cfg80211_find_elem_match cfg80211_get_bss cfg80211_ibss_joined cfg80211_inform_bss_frame_data cfg80211_mgmt_tx_status cfg80211_michael_mic_failure cfg80211_new_sta cfg80211_port_authorized cfg80211_put_bss cfg80211_ready_on_channel cfg80211_remain_on_channel_expired cfg80211_roamed cfg80211_rx_mgmt cfg80211_scan_done cfg80211_sched_scan_results cfg80211_sched_scan_stopped cfg80211_sched_scan_stopped_rtnl cfg80211_unlink_bss cfg80211_unregister_wdev cfg80211_vendor_cmd_reply class_create_file_ns class_destroy class_dev_iter_exit class_dev_iter_init class_dev_iter_next class_find_device class_interface_unregister class_unregister cleanup_srcu_struct clear_inode clear_page clk_bulk_disable clk_bulk_enable clk_bulk_get_all clk_bulk_prepare clk_bulk_put_all clk_bulk_unprepare clk_disable clk_enable clk_fixed_factor_ops clk_fixed_rate_ops clk_get clk_get_parent clk_get_rate clk_hw_get_flags clk_hw_get_name clk_hw_get_num_parents clk_hw_get_parent clk_hw_get_parent_by_index clk_hw_get_rate clk_hw_is_enabled clk_hw_is_prepared clk_hw_register_divider clk_hw_register_gate clk_hw_register_mux clk_hw_round_rate clk_hw_unregister_divider clk_hw_unregister_gate clk_hw_unregister_mux clk_prepare clk_put clk_register clk_register_clkdev clk_register_fixed_factor clk_register_fixed_rate clk_register_gate clk_round_rate clk_set_parent clk_set_rate clk_sync_state clk_unprepare clockevents_config_and_register cma_alloc cma_get_name cma_release compat_alloc_user_space complete complete_all complete_and_exit completion_done component_add component_bind_all component_del component_master_add_with_match component_master_del component_match_add_release component_unbind_all config_ep_by_speed config_group_init_type_name console_lock console_stop console_suspend_enabled console_trylock console_unlock consume_skb contig_page_data cpu_all_bits cpu_bit_bitmap cpu_down cpu_hwcap_keys cpu_hwcaps cpu_number cpu_pm_register_notifier cpu_pm_unregister_notifier cpu_subsys cpu_topology cpu_up cpufreq_cpu_get cpufreq_cpu_get_raw cpufreq_cpu_put cpufreq_driver_resolve_freq cpufreq_freq_attr_scaling_available_freqs cpufreq_freq_attr_scaling_boost_freqs cpufreq_freq_transition_begin cpufreq_freq_transition_end cpufreq_frequency_table_verify cpufreq_generic_attr cpufreq_generic_frequency_table_verify cpufreq_get cpufreq_quick_get cpufreq_quick_get_max cpufreq_register_driver cpufreq_register_notifier cpufreq_table_index_unsorted cpufreq_unregister_driver cpufreq_unregister_notifier cpufreq_update_policy cpuhp_tasks_frozen cpuidle_pause_and_lock cpuidle_resume_and_unlock cpumask_next cpumask_next_and cpus_read_lock cpus_read_unlock crc16 crc32_le crc8 crc8_populate_msb create_function_device crypto_alloc_shash crypto_destroy_tfm crypto_register_rngs crypto_shash_digest crypto_shash_final crypto_shash_update crypto_unregister_rngs csum_partial d_add d_drop d_instantiate d_make_root d_path dapm_pinctrl_event dapm_regulator_event datagram_poll deactivate_locked_super default_llseek default_wake_function del_timer del_timer_sync delayed_work_timer_fn dentry_open desc_to_gpio destroy_workqueue dev_close dev_driver_string dev_fwnode dev_get_by_name dev_get_regmap dev_get_stats dev_pm_opp_add dev_pm_opp_disable dev_pm_opp_find_freq_ceil dev_pm_opp_find_freq_exact dev_pm_opp_find_freq_floor dev_pm_opp_get_freq dev_pm_opp_get_level dev_pm_opp_get_opp_count dev_pm_opp_get_voltage dev_pm_opp_of_add_table dev_pm_opp_of_register_em dev_pm_opp_of_remove_table dev_pm_opp_put dev_pm_opp_put_regulators dev_pm_opp_register_notifier dev_pm_opp_remove_all_dynamic dev_pm_opp_set_regulators dev_pm_opp_set_sharing_cpus dev_pm_opp_unregister_notifier dev_pm_qos_add_request dev_pm_qos_remove_request dev_pm_qos_update_request dev_printk dev_queue_xmit dev_set_mac_address dev_set_name devfreq_add_device devfreq_add_governor devfreq_cooling_unregister devfreq_get_devfreq_by_phandle devfreq_recommended_opp devfreq_register_opp_notifier devfreq_remove_device devfreq_remove_governor devfreq_resume_device devfreq_suspend_device devfreq_unregister_opp_notifier device_add device_create device_create_bin_file device_create_file device_create_with_groups device_del device_destroy device_find_child device_for_each_child device_get_child_node_count device_get_dma_attr device_get_match_data device_get_next_child_node device_init_wakeup device_initialize device_link_add device_link_del device_match_fwnode device_property_present device_property_read_string device_property_read_string_array device_property_read_u16_array device_property_read_u32_array device_property_read_u8_array device_register device_remove_file device_set_wakeup_capable device_set_wakeup_enable device_show_bool device_show_int device_store_bool device_store_int device_unregister device_wakeup_disable device_wakeup_enable devm_add_action devm_clk_bulk_get devm_clk_bulk_get_all devm_clk_get devm_clk_get_optional devm_clk_hw_register devm_clk_put devm_clk_register devm_devfreq_register_notifier devm_device_add_group devm_device_remove_group devm_extcon_dev_allocate devm_extcon_dev_register devm_extcon_dev_unregister devm_extcon_register_notifier devm_free_irq devm_fwnode_get_index_gpiod_from_child devm_gen_pool_create devm_gpio_request devm_gpio_request_one devm_gpiochip_add_data devm_gpiod_get devm_gpiod_get_index devm_gpiod_get_optional devm_iio_channel_get devm_iio_device_alloc devm_input_allocate_device devm_ioremap devm_ioremap_nocache devm_ioremap_resource devm_ioremap_wc devm_iounmap devm_kasprintf devm_kfree devm_kmalloc devm_kmemdup devm_kstrdup devm_kstrdup_const devm_led_classdev_register_ext devm_nvmem_cell_get devm_nvmem_device_get devm_nvmem_register devm_of_clk_add_hw_provider devm_of_pci_get_host_bridge_resources devm_of_platform_populate devm_of_pwm_get devm_pci_alloc_host_bridge devm_phy_create devm_phy_get devm_phy_put devm_pinctrl_get devm_pinctrl_put devm_pinctrl_register devm_platform_ioremap_resource devm_pwm_put devm_regmap_field_alloc devm_regulator_bulk_get devm_regulator_get devm_regulator_get_optional devm_regulator_put devm_regulator_register devm_request_any_context_irq devm_request_pci_bus_resources devm_request_threaded_irq devm_reset_control_array_get devm_reset_controller_register devm_rtc_device_register devm_snd_dmaengine_pcm_register devm_snd_soc_register_card devm_snd_soc_register_component devm_thermal_zone_of_sensor_register devm_usb_get_phy devm_usb_get_phy_by_phandle devres_add devres_alloc_node devres_free devres_release dget_parent disable_irq disable_irq_nosync disable_percpu_irq divider_get_val divider_recalc_rate divider_ro_round_rate_parent divider_round_rate_parent dma_alloc_attrs dma_async_device_register dma_async_device_unregister dma_async_tx_descriptor_init dma_buf_attach dma_buf_begin_cpu_access dma_buf_begin_cpu_access_partial dma_buf_detach dma_buf_end_cpu_access dma_buf_end_cpu_access_partial dma_buf_export dma_buf_fd dma_buf_get dma_buf_get_flags dma_buf_kmap dma_buf_kunmap dma_buf_map_attachment dma_buf_mmap dma_buf_put dma_buf_unmap_attachment dma_buf_vmap dma_buf_vunmap dma_common_get_sgtable dma_common_mmap dma_direct_alloc dma_direct_free dma_direct_get_required_mask dma_direct_map_page dma_direct_map_resource dma_direct_map_sg dma_direct_sync_sg_for_cpu dma_direct_sync_sg_for_device dma_direct_sync_single_for_cpu dma_direct_sync_single_for_device dma_direct_unmap_page dma_direct_unmap_sg dma_fence_add_callback dma_fence_array_create dma_fence_array_ops dma_fence_context_alloc dma_fence_default_wait dma_fence_enable_sw_signaling dma_fence_free dma_fence_get_status dma_fence_init dma_fence_release dma_fence_remove_callback dma_fence_signal dma_fence_signal_locked dma_fence_wait_timeout dma_free_attrs dma_get_sgtable_attrs dma_get_slave_caps dma_get_slave_channel dma_max_mapping_size dma_mmap_attrs dma_pool_alloc dma_pool_create dma_pool_destroy dma_pool_free dma_release_channel dma_request_chan dma_request_slave_channel dma_resv_fini dma_resv_init dma_resv_wait_timeout_rcu dma_set_coherent_mask dma_set_mask dmaengine_unmap_put dmam_alloc_attrs dmam_free_coherent do_SAK do_exit do_wait_intr down down_interruptible down_read down_timeout down_trylock down_write downgrade_write dput drain_workqueue driver_attach driver_find driver_find_device driver_register driver_unregister drm_add_edid_modes drm_add_modes_noedid drm_atomic_commit drm_atomic_get_connector_state drm_atomic_get_crtc_state drm_atomic_get_plane_state drm_atomic_get_private_obj_state drm_atomic_helper_check drm_atomic_helper_cleanup_planes drm_atomic_helper_commit_duplicated_state drm_atomic_helper_commit_hw_done drm_atomic_helper_commit_modeset_disables drm_atomic_helper_commit_modeset_enables drm_atomic_helper_commit_planes drm_atomic_helper_dirtyfb drm_atomic_helper_disable_plane drm_atomic_helper_duplicate_state drm_atomic_helper_page_flip drm_atomic_helper_prepare_planes drm_atomic_helper_set_config drm_atomic_helper_shutdown drm_atomic_helper_swap_state drm_atomic_helper_update_legacy_modeset_state drm_atomic_helper_update_plane drm_atomic_helper_wait_for_fences drm_atomic_helper_wait_for_vblanks drm_atomic_private_obj_init drm_atomic_set_crtc_for_connector drm_atomic_set_fence_for_plane drm_atomic_set_mode_for_crtc drm_atomic_state_alloc drm_atomic_state_clear drm_atomic_state_default_clear drm_atomic_state_default_release drm_atomic_state_init drm_bridge_attach drm_bridge_disable drm_bridge_enable drm_bridge_mode_set drm_bridge_post_disable drm_bridge_pre_enable drm_client_init drm_client_modeset_commit_force drm_client_register drm_compat_ioctl drm_connector_attach_encoder drm_connector_cleanup drm_connector_init drm_connector_list_iter_begin drm_connector_list_iter_end drm_connector_list_iter_next drm_connector_register drm_connector_unregister drm_connector_update_edid_property drm_crtc_cleanup drm_crtc_handle_vblank drm_crtc_init_with_planes drm_crtc_send_vblank_event drm_crtc_vblank_off drm_crtc_vblank_on drm_crtc_vblank_reset drm_crtc_wait_one_vblank drm_dbg drm_debug drm_detect_hdmi_monitor drm_detect_monitor_audio drm_dev_alloc drm_dev_dbg drm_dev_printk drm_dev_put drm_dev_register drm_dev_unregister drm_dp_atomic_find_vcpi_slots drm_dp_atomic_release_vcpi_slots drm_dp_aux_register drm_dp_aux_unregister drm_dp_bw_code_to_link_rate drm_dp_calc_pbn_mode drm_dp_channel_eq_ok drm_dp_check_act_status drm_dp_clock_recovery_ok drm_dp_dpcd_read drm_dp_dpcd_read_link_status drm_dp_dpcd_write drm_dp_find_vcpi_slots drm_dp_get_adjust_request_pre_emphasis drm_dp_get_adjust_request_voltage drm_dp_link_configure drm_dp_link_power_down drm_dp_link_power_up drm_dp_link_probe drm_dp_link_rate_to_bw_code drm_dp_link_train_channel_eq_delay drm_dp_link_train_clock_recovery_delay drm_dp_mst_allocate_vcpi drm_dp_mst_deallocate_vcpi drm_dp_mst_detect_port drm_dp_mst_get_edid drm_dp_mst_get_port_malloc drm_dp_mst_hpd_irq drm_dp_mst_put_port_malloc drm_dp_mst_reset_vcpi_slots drm_dp_mst_topology_mgr_destroy drm_dp_mst_topology_mgr_init drm_dp_mst_topology_mgr_set_mst drm_dp_send_power_updown_phy drm_dp_update_payload_part1 drm_dp_update_payload_part2 drm_edid_duplicate drm_edid_get_monitor_name drm_encoder_cleanup drm_encoder_init drm_err drm_event_reserve_init_locked drm_format_info drm_framebuffer_init drm_framebuffer_lookup drm_framebuffer_remove drm_framebuffer_unregister_private drm_gem_create_mmap_offset drm_gem_fb_create_handle drm_gem_fb_destroy drm_gem_fb_get_obj drm_gem_free_mmap_offset drm_gem_get_pages drm_gem_handle_create drm_gem_mmap drm_gem_mmap_obj drm_gem_object_init drm_gem_object_lookup drm_gem_object_put drm_gem_object_put_unlocked drm_gem_object_release drm_gem_prime_export drm_gem_prime_fd_to_handle drm_gem_prime_handle_to_fd drm_gem_private_object_init drm_gem_put_pages drm_gem_vm_close drm_gem_vm_open drm_get_connector_status_name drm_get_edid drm_get_format_info drm_helper_hpd_irq_event drm_helper_mode_fill_fb_struct drm_helper_probe_single_connector_modes drm_ioctl drm_irq_install drm_irq_uninstall drm_kms_helper_poll_disable drm_kms_helper_poll_enable drm_kms_helper_poll_fini drm_kms_helper_poll_init drm_match_cea_mode drm_mm_init drm_mm_insert_node_in_range drm_mm_remove_node drm_mm_takedown drm_mode_config_cleanup drm_mode_config_init drm_mode_config_reset drm_mode_convert_umode drm_mode_copy drm_mode_create drm_mode_create_dp_colorspace_property drm_mode_debug_printmodeline drm_mode_destroy drm_mode_duplicate drm_mode_equal drm_mode_object_find drm_mode_object_get drm_mode_object_put drm_mode_probed_add drm_mode_set_crtcinfo drm_mode_set_name drm_mode_vrefresh drm_modeset_acquire_fini drm_modeset_acquire_init drm_modeset_backoff drm_modeset_drop_locks drm_modeset_lock drm_modeset_lock_all drm_modeset_lock_all_ctx drm_modeset_unlock_all drm_object_attach_property drm_object_property_set_value drm_of_component_match_add drm_open drm_panel_add drm_panel_init drm_panel_notifier_call_chain drm_panel_notifier_register drm_panel_notifier_unregister drm_panel_remove drm_plane_cleanup drm_plane_create_rotation_property drm_poll drm_prime_gem_destroy drm_prime_pages_to_sg drm_property_blob_get drm_property_blob_put drm_property_create drm_property_create_bitmask drm_property_create_blob drm_property_create_enum drm_property_create_range drm_property_lookup_blob drm_read drm_release drm_rotation_simplify drm_send_event_locked drm_set_preferred_mode drm_universal_plane_init drm_vblank_init drm_wait_one_vblank dump_stack dw_pcie_host_init dw_pcie_msi_init dw_pcie_read dw_pcie_setup_rc dw_pcie_write elevator_alloc elv_bio_merge_ok elv_rb_add elv_rb_del elv_rb_find elv_rb_former_request elv_rb_latter_request elv_register elv_rqhash_add elv_rqhash_del elv_unregister emergency_restart enable_irq enable_percpu_irq end_buffer_read_sync eth_mac_addr eth_type_trans eth_validate_addr ether_setup ethtool_convert_legacy_u32_to_link_mode ethtool_op_get_link ethtool_op_get_ts_info event_triggers_call extcon_find_edev_by_node extcon_get_edev_by_phandle extcon_get_edev_name extcon_get_extcon_dev extcon_get_state extcon_register_notifier extcon_set_state_sync extcon_unregister_notifier fasync_helper fb_mode_option fd_install fget filp_close filp_open find_get_pid find_last_bit find_next_bit find_next_zero_bit find_snd_usb_substream find_vma find_vpid finish_wait firmware_request_nowarn fixed_size_llseek flush_dcache_page flush_delayed_work flush_work flush_workqueue fput frame_vector_to_pages frame_vector_to_pfns free_io_pgtable_ops free_irq free_netdev free_pages free_pages_exact free_percpu free_percpu_irq free_reserved_area freezing_slow_path freq_qos_add_request freq_qos_remove_request freq_qos_update_request fs_kobj fsstack_copy_attr_all fwnode_handle_put fwnode_property_present fwnode_property_read_string fwnode_property_read_u32_array fwnode_usb_role_switch_get gen_pool_add_owner gen_pool_alloc_algo_owner gen_pool_avail gen_pool_best_fit gen_pool_create gen_pool_destroy gen_pool_first_fit_align gen_pool_free_owner gen_pool_set_algo gen_pool_size gen_pool_virt_to_phys generic_device_group generic_file_llseek generic_file_mmap generic_file_read_iter generic_file_splice_read generic_handle_irq generic_mii_ioctl generic_perform_write generic_read_dir generic_shutdown_super generic_write_checks genl_register_family genl_unregister_family genlmsg_put get_cpu_device get_cpu_idle_time get_device get_mm_exe_file get_options get_pid_task get_random_bytes get_random_u32 get_random_u64 get_task_exe_file get_task_mm get_task_pid get_thermal_instance get_unmapped_area get_unused_fd_flags get_user_pages get_user_pages_fast get_user_pages_remote get_zeroed_page getboottime64 gether_cleanup gether_connect gether_disconnect gether_get_dev_addr gether_get_host_addr gether_get_host_addr_cdc gether_get_host_addr_u8 gether_get_ifname gether_get_qmult gether_register_netdev gether_set_dev_addr gether_set_gadget gether_set_host_addr gether_set_qmult gether_setup_name_default gpio_free gpio_free_array gpio_request gpio_request_one gpio_to_desc gpiochip_add_data_with_key gpiochip_add_pin_range gpiochip_find gpiochip_generic_free gpiochip_generic_request gpiochip_get_data gpiochip_irqchip_add_key gpiochip_line_is_valid gpiochip_lock_as_irq gpiochip_remove gpiochip_set_nested_irqchip gpiochip_unlock_as_irq gpiod_cansleep gpiod_direction_input gpiod_direction_output gpiod_direction_output_raw gpiod_get_optional gpiod_get_raw_value gpiod_get_raw_value_cansleep gpiod_get_value gpiod_get_value_cansleep gpiod_is_active_low gpiod_set_debounce gpiod_set_raw_value gpiod_set_raw_value_cansleep gpiod_set_value gpiod_set_value_cansleep gpiod_to_irq gro_find_complete_by_type gro_find_receive_by_type gs_alloc_req gs_free_req gserial_alloc_line gserial_connect gserial_disconnect gserial_free_line handle_bad_irq handle_edge_irq handle_level_irq handle_nested_irq handle_simple_irq handle_sysrq hex_dump_to_buffer hex_to_bin hrtimer_active hrtimer_cancel hrtimer_forward hrtimer_init hrtimer_start_range_ns hrtimer_try_to_cancel hvc_alloc hvc_kick hvc_poll hvc_remove hwrng_register hwrng_unregister hwspin_lock_free hwspin_lock_register hwspin_lock_request_specific hwspin_lock_unregister hypervisor_kobj i2c_adapter_type i2c_add_adapter i2c_add_numbered_adapter i2c_bit_add_numbered_bus i2c_bus_type i2c_del_adapter i2c_del_driver i2c_for_each_dev i2c_get_adapter i2c_get_dma_safe_msg_buf i2c_new_dummy i2c_put_adapter i2c_put_dma_safe_msg_buf i2c_register_driver i2c_smbus_read_byte_data i2c_smbus_read_i2c_block_data i2c_smbus_read_word_data i2c_smbus_write_byte_data i2c_smbus_write_i2c_block_data i2c_smbus_write_word_data i2c_smbus_xfer i2c_transfer i2c_transfer_buffer_flags i2c_unregister_device i2c_verify_client icc_get icc_link_create icc_node_add icc_node_create icc_node_del icc_node_destroy icc_provider_add icc_provider_del icc_put icc_set_bw icc_set_tag ida_alloc_range ida_free idr_alloc idr_alloc_cyclic idr_destroy idr_find idr_for_each idr_get_next idr_preload idr_remove idr_replace ieee80211_channel_to_freq_khz ieee80211_freq_khz_to_channel ieee80211_get_channel_khz iget5_locked ignore_console_lock_warning ihold iio_buffer_init iio_buffer_put iio_channel_get iio_channel_release iio_device_alloc iio_device_attach_buffer iio_device_unregister iio_push_to_buffers iio_read_channel_processed iio_read_channel_raw import_iovec in6_pton in_aton in_egroup_p init_dummy_netdev init_net init_srcu_struct init_task init_timer_key init_uts_ns init_wait_entry inode_init_once inode_init_owner input_alloc_absinfo input_allocate_device input_close_device input_event input_free_device input_mt_destroy_slots input_mt_init_slots input_mt_report_pointer_emulation input_mt_report_slot_state input_open_device input_register_device input_register_handle input_register_handler input_set_abs_params input_set_capability input_unregister_device input_unregister_handle input_unregister_handler int_sqrt int_to_scsilun invalidate_mapping_pages ioc_lookup_icq iomem_resource iommu_alloc_resv_region iommu_attach_device iommu_attach_group iommu_detach_device iommu_device_link iommu_device_register iommu_device_sysfs_add iommu_device_sysfs_remove iommu_device_unlink iommu_device_unregister iommu_dma_enable_best_fit_algo iommu_dma_get_resv_regions iommu_dma_reserve_iova iommu_domain_alloc iommu_domain_free iommu_domain_get_attr iommu_domain_set_attr iommu_fwspec_add_ids iommu_fwspec_free iommu_get_dma_cookie iommu_get_domain_for_dev iommu_group_alloc iommu_group_for_each_dev iommu_group_get iommu_group_get_for_dev iommu_group_get_iommudata iommu_group_put iommu_group_ref_get iommu_group_remove_device iommu_group_set_iommudata iommu_group_set_name iommu_iova_to_phys iommu_map iommu_map_sg iommu_present iommu_put_dma_cookie iommu_register_device_fault_handler iommu_report_device_fault iommu_set_fault_handler iommu_unmap iommu_unregister_device_fault_handler ion_alloc ion_buffer_prep_noncached ion_buffer_zero ion_device_remove_heap ion_free ion_heap_map_kernel ion_heap_map_user ion_heap_unmap_kernel ion_query_heaps_kernel iounmap iov_iter_kvec iput ipv6_stub irq_chip_ack_parent irq_chip_disable_parent irq_chip_enable_parent irq_chip_eoi_parent irq_chip_get_parent_state irq_chip_mask_parent irq_chip_retrigger_hierarchy irq_chip_set_affinity_parent irq_chip_set_parent_state irq_chip_set_type_parent irq_chip_set_vcpu_affinity_parent irq_chip_set_wake_parent irq_chip_unmask_parent irq_create_fwspec_mapping irq_create_mapping irq_dispose_mapping irq_domain_alloc_irqs_parent irq_domain_create_hierarchy irq_domain_free_irqs_common irq_domain_free_irqs_parent irq_domain_get_irq_data irq_domain_remove irq_domain_set_hwirq_and_chip irq_domain_set_info irq_domain_update_bus_token irq_domain_xlate_onecell irq_domain_xlate_onetwocell irq_domain_xlate_twocell irq_find_mapping irq_find_matching_fwspec irq_get_irq_data irq_get_irqchip_state irq_modify_status irq_of_parse_and_map irq_set_affinity_hint irq_set_affinity_notifier irq_set_chained_handler_and_data irq_set_chip irq_set_chip_and_handler_name irq_set_chip_data irq_set_handler_data irq_set_irq_type irq_set_irq_wake irq_set_irqchip_state irq_set_parent irq_to_desc irq_work_queue is_console_locked iterate_dir iterate_fd jiffies jiffies64_to_msecs jiffies_64_to_clock_t jiffies_to_msecs jiffies_to_usecs kasprintf kern_path kernel_bind kernel_connect kernel_cpustat kernel_getsockname kernel_kobj kernel_read kernel_recvmsg kernel_restart kernel_sendmsg kernel_setsockopt kernel_write kernfs_find_and_get_ns kernfs_notify kernfs_put keyslot_manager_create keyslot_manager_create_passthrough keyslot_manager_private kfree kfree_call_rcu kfree_const kfree_skb kfree_skb_partial kill_fasync kimage_vaddr kimage_voffset kmalloc_caches kmalloc_order_trace kmem_cache_alloc kmem_cache_alloc_trace kmem_cache_create kmem_cache_destroy kmem_cache_free kmemdup kmemdup_nul kmsg_dump_get_line kmsg_dump_rewind kobject_add kobject_create_and_add kobject_del kobject_init kobject_init_and_add kobject_put kobject_uevent kobject_uevent_env krealloc kset_create_and_add kset_unregister ksize kstat kstrdup kstrdup_quotable kstrdup_quotable_cmdline kstrndup kstrtobool kstrtobool_from_user kstrtoint kstrtoint_from_user kstrtoll kstrtou16 kstrtou8 kstrtouint kstrtouint_from_user kstrtoull kstrtoull_from_user kthread_bind kthread_blkcg kthread_cancel_delayed_work_sync kthread_cancel_work_sync kthread_create_on_node kthread_delayed_work_timer_fn kthread_flush_work kthread_flush_worker kthread_mod_delayed_work kthread_park kthread_parkme kthread_queue_delayed_work kthread_queue_work kthread_should_park kthread_should_stop kthread_stop kthread_unpark kthread_worker_fn ktime_get ktime_get_coarse_with_offset ktime_get_mono_fast_ns ktime_get_raw ktime_get_raw_ts64 ktime_get_real_seconds ktime_get_real_ts64 ktime_get_ts64 ktime_get_with_offset kvasprintf kvfree kvmalloc_node kzfree led_blink_set led_classdev_register_ext led_classdev_unregister led_get_default_pattern led_set_brightness led_trigger_event led_trigger_register led_trigger_register_simple led_trigger_unregister led_trigger_unregister_simple list_sort llist_add_batch llist_reverse_order lock_rename lock_sock_nested lockref_get lookup_one_len loops_per_jiffy map_vm_area match_int match_token mbox_chan_received_data mbox_chan_txdone mbox_client_txdone mbox_controller_register mbox_controller_unregister mbox_free_channel mbox_request_channel mbox_send_message media_create_intf_link media_create_pad_link media_device_cleanup media_device_init media_device_register_entity media_device_unregister media_device_unregister_entity media_devnode_create media_devnode_remove media_entity_pads_init media_entity_remove_links media_remove_intf_links memblock_free memchr memcmp memcpy memdup_user memmove memparse memremap memset memstart_addr memunmap memweight mfd_add_devices mfd_remove_devices mii_check_media mii_ethtool_gset mii_nway_restart mipi_dsi_create_packet mipi_dsi_dcs_set_display_brightness mipi_dsi_dcs_set_tear_off mipi_dsi_host_register mipi_dsi_host_unregister misc_deregister misc_register mmc_add_host mmc_alloc_host mmc_can_gpio_cd mmc_cqe_request_done mmc_detect_change mmc_free_host mmc_gpio_get_cd mmc_gpio_get_ro mmc_of_parse mmc_regulator_get_supply mmc_regulator_set_ocr mmc_regulator_set_vqmmc mmc_remove_host mmc_request_done mmc_send_tuning mmc_wait_for_req mmput mod_delayed_work_on mod_node_page_state mod_timer module_layout module_put msleep msleep_interruptible mutex_is_locked mutex_lock mutex_lock_interruptible mutex_trylock mutex_unlock names_cachep napi_complete_done napi_disable napi_gro_flush napi_gro_receive napi_schedule_prep netdev_err netdev_info netdev_state_change netdev_update_features netdev_warn netif_carrier_off netif_carrier_on netif_device_attach netif_device_detach netif_napi_add netif_napi_del netif_receive_skb netif_receive_skb_list netif_rx netif_rx_ni netif_schedule_queue netif_tx_wake_queue netlink_broadcast netlink_kernel_release netlink_unicast nla_put nla_put_nohdr no_llseek nonseekable_open noop_llseek notify_change nr_cpu_ids nr_irqs ns_capable ns_to_timespec ns_to_timespec64 ns_to_timeval nsec_to_clock_t nsecs_to_jiffies nvmem_cell_get nvmem_cell_put nvmem_cell_read nvmem_cell_read_u32 nvmem_cell_write nvmem_device_read nvmem_device_write of_address_to_resource of_alias_get_highest_id of_alias_get_id of_clk_add_hw_provider of_clk_add_provider of_clk_del_provider of_clk_get of_clk_get_by_name of_clk_get_from_provider of_clk_get_parent_count of_clk_hw_onecell_get of_clk_src_onecell_get of_clk_src_simple_get of_count_phandle_with_args of_cpufreq_cooling_register of_devfreq_cooling_register of_device_get_match_data of_device_is_available of_device_is_compatible of_dma_configure of_dma_controller_free of_dma_controller_register of_dma_is_coherent of_drm_find_bridge of_drm_find_panel of_find_compatible_node of_find_device_by_node of_find_i2c_device_by_node of_find_matching_node_and_match of_find_node_by_name of_find_node_by_type of_find_node_opts_by_path of_find_node_with_property of_find_property of_find_spi_device_by_node of_fwnode_ops of_genpd_add_provider_onecell of_genpd_add_provider_simple of_genpd_del_provider of_get_address of_get_child_by_name of_get_cpu_node of_get_dma_window of_get_mac_address of_get_named_gpio_flags of_get_next_available_child of_get_next_child of_get_parent of_get_property of_get_regulator_init_data of_get_videomode of_graph_get_next_endpoint of_graph_get_remote_node of_graph_get_remote_port_parent of_graph_parse_endpoint of_hwspin_lock_get_id of_icc_get of_icc_xlate_onecell of_iomap of_irq_find_parent of_irq_get of_irq_get_byname of_irq_parse_and_map_pci of_irq_parse_one of_machine_is_compatible of_match_device of_match_node of_n_addr_cells of_n_size_cells of_node_name_eq of_parse_phandle of_parse_phandle_with_args of_parse_phandle_with_fixed_args of_phandle_iterator_init of_phandle_iterator_next of_phy_simple_xlate of_platform_depopulate of_platform_device_create of_platform_populate of_prop_next_string of_prop_next_u32 of_property_count_elems_of_size of_property_match_string of_property_read_string of_property_read_string_helper of_property_read_u32_index of_property_read_u64 of_property_read_u64_index of_property_read_variable_u16_array of_property_read_variable_u32_array of_property_read_variable_u64_array of_property_read_variable_u8_array of_pwm_xlate_with_flags of_reserved_mem_device_init_by_idx of_reserved_mem_device_release of_reserved_mem_lookup of_reset_control_array_get of_root of_thermal_get_ntrips of_thermal_get_trip_points of_thermal_is_trip_valid of_translate_address of_usb_get_phy_mode of_usb_host_tpl_support oops_in_progress pagecache_get_page panic panic_notifier_list panic_timeout param_array_ops param_get_int param_get_uint param_ops_bool param_ops_byte param_ops_charp param_ops_int param_ops_long param_ops_string param_ops_uint param_ops_ullong param_ops_ulong param_set_bool param_set_int param_set_uint path_get path_put pci_alloc_irq_vectors_affinity pci_assign_resource pci_assign_unassigned_bus_resources pci_bus_add_devices pci_bus_type pci_clear_master pci_common_swizzle pci_d3cold_disable pci_device_group pci_disable_device pci_enable_device pci_enable_wake pci_find_bus pci_find_capability pci_find_ext_capability pci_find_pcie_root_port pci_free_irq_vectors pci_get_device pci_iomap pci_irq_vector pci_load_and_free_saved_state pci_load_saved_state pci_msi_create_irq_domain pci_msi_mask_irq pci_msi_unmask_irq pci_read_config_byte pci_read_config_dword pci_read_config_word pci_release_region pci_request_region pci_rescan_bus pci_restore_state pci_save_state pci_scan_root_bus_bridge pci_set_master pci_set_mwi pci_set_power_state pci_store_saved_state pci_unregister_driver pci_walk_bus pci_write_config_dword pcie_capability_read_word pcim_enable_device perf_trace_buf_alloc perf_trace_run_bpf_submit pfn_valid phy_calibrate phy_configure phy_exit phy_init phy_pm_runtime_get_sync phy_pm_runtime_put_sync phy_power_off phy_power_on phy_reset phy_set_mode_ext physvirt_offset pid_task pinconf_generic_dt_node_to_map pinctrl_add_gpio_range pinctrl_dev_get_drvdata pinctrl_force_default pinctrl_force_sleep pinctrl_get pinctrl_lookup_state pinctrl_pm_select_default_state pinctrl_pm_select_sleep_state pinctrl_put pinctrl_remove_gpio_range pinctrl_select_state pinctrl_utils_free_map platform_bus_type platform_device_add platform_device_add_data platform_device_add_properties platform_device_add_resources platform_device_alloc platform_device_del platform_device_put platform_device_register platform_device_register_full platform_device_unregister platform_driver_unregister platform_get_irq platform_get_irq_byname platform_get_irq_byname_optional platform_get_resource platform_get_resource_byname platform_irq_count pm_clk_add pm_clk_create pm_clk_destroy pm_clk_resume pm_clk_suspend pm_genpd_add_subdomain pm_genpd_init pm_genpd_remove pm_genpd_remove_subdomain pm_power_off pm_qos_add_request pm_qos_remove_request pm_qos_request_active pm_qos_update_request pm_relax pm_runtime_allow pm_runtime_barrier pm_runtime_enable pm_runtime_forbid pm_runtime_force_resume pm_runtime_force_suspend pm_runtime_get_if_in_use pm_runtime_irq_safe pm_runtime_no_callbacks pm_runtime_set_autosuspend_delay pm_stay_awake pm_wakeup_dev_event pm_wakeup_ws_event power_supply_changed power_supply_get_by_name power_supply_get_drvdata power_supply_get_property power_supply_put power_supply_register power_supply_set_property power_supply_unregister preempt_schedule preempt_schedule_notrace prepare_to_wait prepare_to_wait_event print_hex_dump printk proc_create proc_create_data proc_create_seq_private proc_dointvec proc_mkdir proc_remove proc_set_size proc_symlink proto_register proto_unregister pskb_expand_head public_key_verify_signature put_device put_disk put_pid put_tty_driver put_unused_fd pwm_apply_state pwm_get_chip_data pwm_set_chip_data pwmchip_add pwmchip_remove qcom_smem_state_get qcom_smem_state_register qcom_smem_state_unregister qcom_smem_state_update_bits queue_delayed_work_on queue_work_on radix_tree_delete radix_tree_insert radix_tree_iter_delete radix_tree_lookup radix_tree_maybe_preload radix_tree_next_chunk rational_best_approximation raw_notifier_call_chain raw_notifier_chain_register raw_notifier_chain_unregister rb_erase rb_first rb_insert_color rb_last rb_next rb_prev rb_replace_node rdev_get_drvdata rdev_get_id reboot_mode refcount_dec_and_lock refcount_dec_and_test_checked refcount_dec_checked refcount_dec_not_one refcount_inc_checked refcount_inc_not_zero_checked regcache_cache_bypass regcache_cache_only regcache_drop_region regcache_mark_dirty regcache_sync regcache_sync_region register_chrdev_region register_console register_die_notifier register_filesystem register_inet6addr_notifier register_inetaddr_notifier register_net_sysctl register_netdev register_netdevice register_netdevice_notifier register_oom_notifier register_pm_notifier register_reboot_notifier register_restart_handler register_shrinker register_syscore_ops regmap_async_complete regmap_bulk_read regmap_bulk_write regmap_field_read regmap_field_update_bits_base regmap_mmio_detach_clk regmap_multi_reg_write regmap_multi_reg_write_bypassed regmap_raw_read regmap_raw_write regmap_raw_write_async regmap_read regmap_register_patch regmap_update_bits_base regmap_write regulator_bulk_disable regulator_bulk_enable regulator_count_voltages regulator_disable regulator_disable_deferred regulator_enable regulator_force_disable regulator_get regulator_get_current_limit regulator_get_mode regulator_get_optional regulator_get_voltage regulator_is_enabled regulator_is_supported_voltage regulator_list_voltage_linear regulator_lock regulator_map_voltage_linear regulator_notifier_call_chain regulator_put regulator_register_notifier regulator_set_current_limit regulator_set_load regulator_set_mode regulator_set_voltage regulator_unlock regulator_unregister_notifier regulatory_hint regulatory_set_wiphy_regd_sync_rtnl release_firmware release_sock remap_pfn_range remap_vmalloc_range remove_proc_entry remove_wait_queue report_iommu_fault request_firmware request_firmware_direct request_firmware_into_buf request_firmware_nowait request_threaded_irq reset_control_assert reset_control_deassert reset_control_put reset_control_reset return_address rfkill_alloc rfkill_destroy rfkill_init_sw_state rfkill_register rfkill_set_sw_state rfkill_unregister rndis_deregister rndis_free_response rndis_get_next_response rndis_msg_parser rndis_register rndis_set_host_mac rndis_set_param_dev rndis_set_param_medium rndis_set_param_vendor rndis_signal_connect rndis_uninit round_jiffies_up rpmsg_create_ept rpmsg_destroy_ept rpmsg_get_signals rpmsg_poll rpmsg_register_device rpmsg_send rpmsg_set_signals rpmsg_trysend rpmsg_unregister_device rps_needed rt_mutex_lock rt_mutex_unlock rtc_class_close rtc_class_open rtc_read_time rtc_time64_to_tm rtc_tm_to_time64 rtc_update_irq rtc_valid_tm rtnl_is_locked rtnl_lock rtnl_unlock runqueues save_stack_trace save_stack_trace_tsk sbitmap_add_wait_queue sbitmap_any_bit_set sbitmap_del_wait_queue sbitmap_init_node sbitmap_queue_clear sbitmap_queue_init_node sbitmap_queue_min_shallow_depth sbitmap_queue_resize sched_clock sched_setscheduler sched_setscheduler_nocheck sched_show_task schedule schedule_timeout schedule_timeout_interruptible schedule_timeout_uninterruptible scmd_printk scnprintf scsi_add_host_with_dma scsi_autopm_get_device scsi_autopm_put_device scsi_block_requests scsi_block_when_processing_errors scsi_change_queue_depth scsi_command_size_tbl scsi_device_get scsi_device_put scsi_eh_prep_cmnd scsi_eh_restore_cmnd scsi_get_host_dev scsi_host_alloc scsi_host_put scsi_ioctl scsi_ioctl_block_when_processing_errors scsi_is_host_device scsi_normalize_sense scsi_print_command scsi_print_sense_hdr scsi_register_interface scsi_remove_host scsi_report_bus_reset scsi_report_device_reset scsi_scan_host scsi_sense_desc_find scsi_unblock_requests sdev_prefix_printk sdhci_add_host sdhci_cleanup_host sdhci_cqe_disable sdhci_cqe_enable sdhci_cqe_irq sdhci_dumpregs sdhci_enable_clk sdhci_get_property sdhci_pltfm_free sdhci_pltfm_init sdhci_remove_host sdhci_reset sdhci_set_bus_width sdhci_setup_host sdio_signal_irq send_sig send_sig_info seq_lseek seq_printf seq_putc seq_puts seq_read seq_release set_anon_super set_cpus_allowed_ptr set_normalized_timespec64 set_page_dirty_lock set_user_nice setup_irq sg_alloc_table sg_alloc_table_from_pages sg_copy_to_buffer sg_free_table sg_init_one sg_init_table sg_miter_next sg_miter_skip sg_miter_start sg_miter_stop sg_nents sg_nents_for_len sg_next sg_pcopy_from_buffer sg_pcopy_to_buffer sg_scsi_ioctl sget shmem_truncate_range sigprocmask simple_getattr simple_open simple_read_from_buffer simple_setattr simple_statfs simple_strtol simple_strtoul simple_write_to_buffer single_open single_release sk_alloc sk_free skb_add_rx_frag skb_clone skb_copy skb_copy_bits skb_copy_datagram_iter skb_copy_expand skb_copy_ubufs skb_dequeue skb_free_datagram skb_pull skb_push skb_put skb_queue_head skb_queue_purge skb_queue_tail skb_realloc_headroom skb_recv_datagram skb_set_owner_w skb_store_bits skb_trim smp_call_function smp_call_function_many smp_call_function_single smp_call_function_single_async smp_call_on_cpu smpboot_register_percpu_thread smpboot_unregister_percpu_thread snd_card_free snd_card_new snd_card_ref snd_card_register snd_compr_stop_error snd_ctl_add snd_ctl_boolean_mono_info snd_ctl_enum_info snd_ctl_new1 snd_ctl_notify snd_ctl_remove snd_device_free snd_dma_alloc_pages snd_dma_free_pages snd_dmaengine_pcm_prepare_slave_config snd_hwdep_new snd_info_create_card_entry snd_info_create_module_entry snd_info_free_entry snd_info_register snd_pcm_add_chmap_ctls snd_pcm_format_physical_width snd_pcm_format_width snd_pcm_hw_constraint_integer snd_pcm_hw_constraint_list snd_pcm_hw_constraint_minmax snd_pcm_hw_constraint_step snd_pcm_lib_free_pages snd_pcm_lib_ioctl snd_pcm_lib_malloc_pages snd_pcm_lib_preallocate_free_for_all snd_pcm_lib_preallocate_pages snd_pcm_lib_preallocate_pages_for_all snd_pcm_new snd_pcm_period_elapsed snd_pcm_rate_range_to_bits snd_pcm_set_ops snd_pcm_std_chmaps snd_pcm_stop snd_pcm_stream_unlock_irqrestore snd_soc_add_card_controls snd_soc_add_component_controls snd_soc_bytes_info_ext snd_soc_bytes_tlv_callback snd_soc_card_get_kcontrol snd_soc_component_async_complete snd_soc_component_disable_pin snd_soc_component_enable_pin snd_soc_component_force_enable_pin snd_soc_component_get_pin_status snd_soc_component_init_regmap snd_soc_component_read snd_soc_component_read32 snd_soc_component_set_sysclk snd_soc_component_update_bits snd_soc_component_update_bits_async snd_soc_component_write snd_soc_dai_get_channel_map snd_soc_dai_set_bclk_ratio snd_soc_dai_set_channel_map snd_soc_dai_set_fmt snd_soc_dai_set_sysclk snd_soc_dai_set_tdm_slot snd_soc_dapm_add_routes snd_soc_dapm_disable_pin snd_soc_dapm_enable_pin snd_soc_dapm_force_enable_pin snd_soc_dapm_get_enum_double snd_soc_dapm_get_pin_status snd_soc_dapm_get_pin_switch snd_soc_dapm_get_volsw snd_soc_dapm_ignore_suspend snd_soc_dapm_info_pin_switch snd_soc_dapm_kcontrol_dapm snd_soc_dapm_kcontrol_widget snd_soc_dapm_mixer_update_power snd_soc_dapm_mux_update_power snd_soc_dapm_new_control snd_soc_dapm_new_controls snd_soc_dapm_new_widgets snd_soc_dapm_put_enum_double snd_soc_dapm_put_pin_switch snd_soc_dapm_put_volsw snd_soc_dapm_sync snd_soc_dapm_weak_routes snd_soc_find_dai snd_soc_get_enum_double snd_soc_get_pcm_runtime snd_soc_get_volsw snd_soc_get_volsw_range snd_soc_get_xr_sx snd_soc_info_enum_double snd_soc_info_multi_ext snd_soc_info_volsw snd_soc_info_volsw_range snd_soc_info_volsw_sx snd_soc_info_xr_sx snd_soc_lookup_component snd_soc_new_compress snd_soc_of_get_dai_link_codecs snd_soc_of_get_dai_name snd_soc_of_parse_audio_routing snd_soc_of_parse_card_name snd_soc_of_parse_daifmt snd_soc_of_put_dai_link_codecs snd_soc_params_to_bclk snd_soc_pm_ops snd_soc_put_enum_double snd_soc_put_volsw snd_soc_put_volsw_range snd_soc_put_volsw_sx snd_soc_put_xr_sx snd_soc_register_card snd_soc_register_component snd_soc_rtdcom_lookup snd_soc_set_runtime_hwparams snd_soc_tplg_component_load snd_soc_tplg_component_remove snd_soc_tplg_widget_bind_event snd_soc_unregister_card snd_soc_unregister_component snd_timer_interrupt snd_usb_enable_audio_stream snd_vendor_set_ops snprintf soc_device_register soc_device_unregister sock_alloc_send_skb sock_create_kern sock_gettstamp sock_i_uid sock_init_data sock_no_accept sock_no_getsockopt sock_no_listen sock_no_mmap sock_no_sendpage sock_no_setsockopt sock_no_shutdown sock_no_socketpair sock_queue_rcv_skb sock_register sock_release sock_unregister sock_wfree softnet_data sort spi_bus_type spi_controller_resume spi_controller_suspend spi_finalize_current_message spi_register_controller spi_setup spi_sync spi_unregister_controller split_page spmi_controller_add spmi_controller_alloc spmi_controller_remove spmi_ext_register_read spmi_ext_register_readl spmi_ext_register_write spmi_ext_register_writel spmi_register_read spmi_register_write spmi_register_zero_write sprint_symbol sprintf srcu_init_notifier_head srcu_notifier_call_chain srcu_notifier_chain_register srcu_notifier_chain_unregister sscanf stack_trace_print static_key_slow_dec static_key_slow_inc strcasecmp strcat strchr strchrnul strcmp strcpy strcspn stream_open strim strlcat strlcpy strlen strncasecmp strncat strnchr strncmp strncpy strndup_user strnlen strnstr strpbrk strrchr strreplace strscpy strsep strspn strstr submit_bh subsys_system_register sync_file_create sync_file_get_fence sync_filesystem synchronize_irq synchronize_rcu synchronize_srcu sys_tz syscon_node_to_regmap syscon_regmap_lookup_by_phandle sysfs_add_file_to_group sysfs_create_bin_file sysfs_create_file_ns sysfs_create_files sysfs_create_group sysfs_create_groups sysfs_create_link sysfs_notify sysfs_remove_bin_file sysfs_remove_file_ns sysfs_remove_files sysfs_remove_group sysfs_remove_link sysfs_streq system_freezable_wq system_freezing_cnt system_highpri_wq system_long_wq system_power_efficient_wq system_state system_unbound_wq system_wq tasklet_init tasklet_kill tcp_register_congestion_control tcp_reno_cong_avoid tcp_reno_ssthresh tcp_reno_undo_cwnd tcp_slow_start tcp_unregister_congestion_control thermal_cdev_update thermal_cooling_device_unregister thermal_of_cooling_device_register thermal_zone_device_update thermal_zone_get_temp thermal_zone_get_zone_by_name thermal_zone_of_sensor_register thermal_zone_of_sensor_unregister time64_to_tm timer_reduce timespec64_to_jiffies touch_softlockup_watchdog trace_define_field trace_event_buffer_commit trace_event_buffer_reserve trace_event_ignore_this_pid trace_event_raw_init trace_event_reg trace_handle_return trace_output_call trace_print_array_seq trace_print_flags_seq trace_print_symbols_seq trace_raw_output_prep trace_seq_printf tracepoint_probe_register tracepoint_probe_unregister tracing_off truncate_inode_pages try_module_get try_wait_for_completion tty_encode_baud_rate tty_flip_buffer_push tty_hangup tty_insert_flip_string_fixed_flag tty_kref_put tty_ldisc_deref tty_ldisc_ref tty_port_close tty_port_destroy tty_port_hangup tty_port_init tty_port_open tty_port_put tty_port_register_device tty_port_tty_get tty_port_tty_hangup tty_port_tty_wakeup tty_register_driver tty_set_operations tty_standard_install tty_std_termios tty_termios_baud_rate tty_termios_copy_hw tty_termios_hw_change tty_unregister_device tty_unregister_driver tty_vhangup typec_altmode_get_partner typec_altmode_update_active typec_get_drvdata typec_partner_register_altmode typec_port_register_altmode typec_register_partner typec_register_port typec_set_data_role typec_set_pwr_opmode typec_set_pwr_role typec_unregister_altmode typec_unregister_partner typec_unregister_port uart_add_one_port uart_console_device uart_console_write uart_get_baud_rate uart_insert_char uart_parse_options uart_register_driver uart_remove_one_port uart_resume_port uart_set_options uart_suspend_port uart_unregister_driver uart_update_timeout uart_write_wakeup ufshcd_crypto_cap_find ufshcd_crypto_disable_spec ufshcd_crypto_enable_spec ufshcd_dme_get_attr ufshcd_dme_set_attr ufshcd_dump_regs ufshcd_get_local_unipro_ver ufshcd_hold ufshcd_pltfrm_init ufshcd_pltfrm_resume ufshcd_pltfrm_runtime_idle ufshcd_pltfrm_runtime_resume ufshcd_pltfrm_runtime_suspend ufshcd_pltfrm_shutdown ufshcd_pltfrm_suspend ufshcd_query_attr ufshcd_query_descriptor_retry ufshcd_query_flag ufshcd_release ufshcd_remove ufshcd_shutdown ufshcd_system_resume ufshcd_system_suspend ufshcd_uic_hibern8_enter ufshcd_uic_hibern8_exit ufshcd_wb_ctrl uio_unregister_device unlock_new_inode unlock_page unlock_rename unmap_mapping_range unregister_chrdev_region unregister_console unregister_die_notifier unregister_filesystem unregister_inet6addr_notifier unregister_inetaddr_notifier unregister_netdev unregister_netdevice_notifier unregister_netdevice_queue unregister_pm_notifier unregister_reboot_notifier unregister_restart_handler unregister_rpmsg_driver unregister_shrinker unregister_syscore_ops up up_read up_write update_devfreq usb_add_function usb_add_gadget_udc usb_add_hcd usb_add_phy_dev usb_alloc_coherent usb_alloc_dev usb_alloc_streams usb_alloc_urb usb_altnum_to_altsetting usb_amd_dev_put usb_amd_pt_check_port usb_amd_quirk_pll_check usb_amd_quirk_pll_disable usb_amd_quirk_pll_enable usb_anchor_urb usb_asmedia_modifyflowcontrol usb_assign_descriptors usb_autopm_get_interface usb_autopm_get_interface_async usb_autopm_get_interface_no_resume usb_autopm_put_interface usb_autopm_put_interface_async usb_autopm_put_interface_no_suspend usb_bulk_msg usb_choose_configuration usb_clear_halt usb_composite_setup_continue usb_control_msg usb_copy_descriptors usb_create_shared_hcd usb_decode_ctrl usb_del_gadget_udc usb_deregister usb_deregister_dev usb_disable_xhci_ports usb_disabled usb_driver_claim_interface usb_driver_release_interface usb_enable_autosuspend usb_enable_intel_xhci_ports usb_ep_alloc_request usb_ep_autoconfig usb_ep_clear_halt usb_ep_dequeue usb_ep_disable usb_ep_enable usb_ep_free_request usb_ep_queue usb_ep_set_halt usb_ep_set_maxpacket_limit usb_find_common_endpoints usb_find_interface usb_free_all_descriptors usb_free_coherent usb_free_streams usb_free_urb usb_function_register usb_function_unregister usb_gadget_connect usb_gadget_disconnect usb_gadget_giveback_request usb_gadget_map_request_by_dev usb_gadget_set_state usb_gadget_udc_reset usb_gadget_unmap_request_by_dev usb_gadget_vbus_connect usb_gadget_vbus_disconnect usb_gadget_vbus_draw usb_gadget_wakeup usb_get_current_frame_number usb_get_dev usb_get_dr_mode usb_get_from_anchor usb_get_intf usb_get_maximum_speed usb_get_urb usb_gstrings_attach usb_hc_died usb_hcd_check_unlink_urb usb_hcd_end_port_resume usb_hcd_giveback_urb usb_hcd_irq usb_hcd_is_primary_hcd usb_hcd_link_urb_to_ep usb_hcd_map_urb_for_dma usb_hcd_pci_pm_ops usb_hcd_pci_probe usb_hcd_pci_remove usb_hcd_pci_shutdown usb_hcd_platform_shutdown usb_hcd_poll_rh_status usb_hcd_resume_root_hub usb_hcd_start_port_resume usb_hcd_unlink_urb_from_ep usb_hub_clear_tt_buffer usb_hub_find_child usb_ifnum_to_if usb_interface_id usb_kill_anchored_urbs usb_kill_urb usb_lock_device_for_reset usb_match_id usb_match_one_id usb_os_desc_prepare_interf_dir usb_otg_state_string usb_poison_anchored_urbs usb_poison_urb usb_put_dev usb_put_function_instance usb_put_hcd usb_put_intf usb_register_dev usb_register_driver usb_register_notify usb_remove_hcd usb_remove_phy usb_reset_device usb_reset_endpoint usb_role_switch_register usb_role_switch_set_role usb_role_switch_unregister usb_root_hub_lost_power usb_set_device_state usb_set_interface usb_sg_cancel usb_sg_init usb_sg_wait usb_show_dynids usb_speed_string usb_store_new_id usb_string usb_string_id usb_submit_urb usb_unanchor_urb usb_unlink_urb usb_unpoison_urb usb_unregister_notify usb_wait_anchor_empty_timeout usb_wakeup_notification usbnet_cdc_unbind usbnet_change_mtu usbnet_defer_kevent usbnet_device_suggests_idle usbnet_disconnect usbnet_generic_cdc_bind usbnet_get_drvinfo usbnet_get_endpoints usbnet_get_ethernet_addr usbnet_get_link usbnet_get_link_ksettings usbnet_get_msglevel usbnet_get_stats64 usbnet_link_change usbnet_manage_power usbnet_nway_reset usbnet_open usbnet_probe usbnet_read_cmd usbnet_read_cmd_nopm usbnet_resume usbnet_set_link_ksettings usbnet_set_msglevel usbnet_skb_return usbnet_start_xmit usbnet_stop usbnet_suspend usbnet_tx_timeout usbnet_unlink_rx_urbs usbnet_update_max_qlen usbnet_write_cmd usbnet_write_cmd_async usbnet_write_cmd_nopm user_path_at_empty usleep_range v4l2_ctrl_find v4l2_ctrl_g_ctrl v4l2_ctrl_get_name v4l2_ctrl_handler_free v4l2_ctrl_handler_init_class v4l2_ctrl_handler_setup v4l2_ctrl_log_status v4l2_ctrl_merge v4l2_ctrl_new_custom v4l2_ctrl_new_std v4l2_ctrl_new_std_menu v4l2_ctrl_replace v4l2_ctrl_subscribe_event v4l2_device_disconnect v4l2_device_put v4l2_device_register v4l2_device_register_subdev v4l2_device_register_subdev_nodes v4l2_device_set_name v4l2_device_unregister v4l2_device_unregister_subdev v4l2_event_dequeue v4l2_event_pending v4l2_event_queue v4l2_event_queue_fh v4l2_event_subscribe v4l2_event_unsubscribe v4l2_fh_add v4l2_fh_del v4l2_fh_exit v4l2_fh_init v4l2_fh_open v4l2_fh_release v4l2_format_info v4l2_i2c_subdev_init v4l2_match_dv_timings v4l2_prio_init v4l2_s_ctrl v4l2_subdev_call_wrappers v4l2_subdev_init v4l2_type_names v4l_bound_align_image vabits_actual vb2_buffer_done vb2_common_vm_ops vb2_create_bufs vb2_create_framevec vb2_destroy_framevec vb2_dqbuf vb2_expbuf vb2_fop_mmap vb2_fop_poll vb2_fop_read vb2_fop_release vb2_ioctl_create_bufs vb2_ioctl_dqbuf vb2_ioctl_expbuf vb2_ioctl_prepare_buf vb2_ioctl_qbuf vb2_ioctl_querybuf vb2_ioctl_reqbufs vb2_ioctl_streamoff vb2_ioctl_streamon vb2_mmap vb2_ops_wait_finish vb2_ops_wait_prepare vb2_plane_cookie vb2_plane_vaddr vb2_poll vb2_prepare_buf vb2_qbuf vb2_querybuf vb2_queue_error vb2_queue_init vb2_queue_release vb2_reqbufs vb2_request_object_is_buffer vb2_streamoff vb2_streamon vb2_wait_for_all_buffers vchan_dma_desc_free_list vchan_init vchan_tx_desc_free vchan_tx_submit vfree vfs_create vfs_fallocate vfs_fsync vfs_fsync_range vfs_getattr vfs_getxattr vfs_link vfs_listxattr vfs_llseek vfs_mkdir vfs_rename vfs_rmdir vfs_setxattr vfs_statx vfs_unlink video_devdata video_device_alloc video_device_release video_device_release_empty video_ioctl2 video_unregister_device vm_get_page_prot vm_insert_page vm_iomap_memory vm_map_pages vm_map_ram vm_unmap_ram vmalloc vmalloc_to_page vmalloc_to_pfn vmalloc_user vmap vmemmap vmf_insert_mixed vmf_insert_pfn vmf_insert_pfn_prot vprintk vscnprintf vsnprintf vsprintf vunmap vzalloc wait_for_completion wait_for_completion_interruptible wait_for_completion_interruptible_timeout wait_for_completion_killable wait_for_completion_timeout wait_woken wake_up_process wakeup_source_add wakeup_source_register wakeup_source_remove wakeup_source_unregister watchdog_init_timeout watchdog_register_device watchdog_set_restart_priority watchdog_unregister_device wiphy_apply_custom_regulatory wiphy_free wiphy_new_nm wiphy_register wiphy_unregister woken_wake_function work_busy xa_erase xa_find xa_find_after xa_load xa_store