diff --git a/lib/extract.f90 b/lib/extract.f90 index 3e7fa6fe1..2a0a97205 100644 --- a/lib/extract.f90 +++ b/lib/extract.f90 @@ -73,6 +73,7 @@ subroutine extract(s3,nadd,mode65,ntrials,naggressive,ndepth,nflip, & call interleave63(mr2prob,-1) ntry=0 +!call gf64_osd(mrsym,mrprob,mr2sym,mr2prob,correct) call timer('ftrsd ',0) param=0 call ftrsd2(mrsym,mrprob,mr2sym,mr2prob,ntrials,correct,param,ntry) diff --git a/lib/fsk4hf/baseline.f90 b/lib/ft8/baseline.f90 similarity index 100% rename from lib/fsk4hf/baseline.f90 rename to lib/ft8/baseline.f90 diff --git a/lib/fsk4hf/bpdecode174.f90 b/lib/ft8/bpdecode174.f90 similarity index 100% rename from lib/fsk4hf/bpdecode174.f90 rename to lib/ft8/bpdecode174.f90 diff --git a/lib/fsk4hf/chkcrc12a.f90 b/lib/ft8/chkcrc12a.f90 similarity index 100% rename from lib/fsk4hf/chkcrc12a.f90 rename to lib/ft8/chkcrc12a.f90 diff --git a/lib/fsk4hf/encode174.f90 b/lib/ft8/encode174.f90 similarity index 100% rename from lib/fsk4hf/encode174.f90 rename to lib/ft8/encode174.f90 diff --git a/lib/fsk4hf/extractmessage174.f90 b/lib/ft8/extractmessage174.f90 similarity index 100% rename from lib/fsk4hf/extractmessage174.f90 rename to lib/ft8/extractmessage174.f90 diff --git a/lib/fsk4hf/ft8_downsample.f90 b/lib/ft8/ft8_downsample.f90 similarity index 100% rename from lib/fsk4hf/ft8_downsample.f90 rename to lib/ft8/ft8_downsample.f90 diff --git a/lib/fsk4hf/ft8_params.f90 b/lib/ft8/ft8_params.f90 similarity index 100% rename from lib/fsk4hf/ft8_params.f90 rename to lib/ft8/ft8_params.f90 diff --git a/lib/fsk4hf/ft8apset.f90 b/lib/ft8/ft8apset.f90 similarity index 100% rename from lib/fsk4hf/ft8apset.f90 rename to lib/ft8/ft8apset.f90 diff --git a/lib/fsk4hf/ft8b.f90 b/lib/ft8/ft8b.f90 similarity index 100% rename from lib/fsk4hf/ft8b.f90 rename to lib/ft8/ft8b.f90 diff --git a/lib/fsk4hf/ft8d.f90 b/lib/ft8/ft8d.f90 similarity index 100% rename from lib/fsk4hf/ft8d.f90 rename to lib/ft8/ft8d.f90 diff --git a/lib/fsk4hf/ft8sim.f90 b/lib/ft8/ft8sim.f90 similarity index 100% rename from lib/fsk4hf/ft8sim.f90 rename to lib/ft8/ft8sim.f90 diff --git a/lib/fsk4hf/genft8.f90 b/lib/ft8/genft8.f90 similarity index 100% rename from lib/fsk4hf/genft8.f90 rename to lib/ft8/genft8.f90 diff --git a/lib/fsk4hf/genft8refsig.f90 b/lib/ft8/genft8refsig.f90 similarity index 100% rename from lib/fsk4hf/genft8refsig.f90 rename to lib/ft8/genft8refsig.f90 diff --git a/lib/fsk4hf/ldpc_174_87_params.f90 b/lib/ft8/ldpc_174_87_params.f90 similarity index 100% rename from lib/fsk4hf/ldpc_174_87_params.f90 rename to lib/ft8/ldpc_174_87_params.f90 diff --git a/lib/fsk4hf/ldpcsim174.f90 b/lib/ft8/ldpcsim174.f90 similarity index 100% rename from lib/fsk4hf/ldpcsim174.f90 rename to lib/ft8/ldpcsim174.f90 diff --git a/lib/fsk4hf/osd174.f90 b/lib/ft8/osd174.f90 similarity index 100% rename from lib/fsk4hf/osd174.f90 rename to lib/ft8/osd174.f90 diff --git a/lib/fsk4hf/subtractft8.f90 b/lib/ft8/subtractft8.f90 similarity index 100% rename from lib/fsk4hf/subtractft8.f90 rename to lib/ft8/subtractft8.f90 diff --git a/lib/fsk4hf/sync8.f90 b/lib/ft8/sync8.f90 similarity index 100% rename from lib/fsk4hf/sync8.f90 rename to lib/ft8/sync8.f90 diff --git a/lib/fsk4hf/sync8d.f90 b/lib/ft8/sync8d.f90 similarity index 100% rename from lib/fsk4hf/sync8d.f90 rename to lib/ft8/sync8d.f90 diff --git a/lib/fsk4hf/twkfreq1.f90 b/lib/ft8/twkfreq1.f90 similarity index 100% rename from lib/fsk4hf/twkfreq1.f90 rename to lib/ft8/twkfreq1.f90 diff --git a/lib/fsk4hf/watterson.f90 b/lib/ft8/watterson.f90 similarity index 100% rename from lib/fsk4hf/watterson.f90 rename to lib/ft8/watterson.f90 diff --git a/lib/ft8_decode.f90 b/lib/ft8_decode.f90 index 4ad6c873d..48efaabd8 100644 --- a/lib/ft8_decode.f90 +++ b/lib/ft8_decode.f90 @@ -37,7 +37,7 @@ contains mygrid6,hiscall12,hisgrid6) ! use wavhdr use timer_module, only: timer - include 'fsk4hf/ft8_params.f90' + include 'ft8/ft8_params.f90' ! type(hdr) h class(ft8_decoder), intent(inout) :: this diff --git a/lib/jt65.f90 b/lib/jt65.f90 index 9b99730f1..e4875400b 100644 --- a/lib/jt65.f90 +++ b/lib/jt65.f90 @@ -33,16 +33,16 @@ program jt65 ,'experience decoding options (1..n), default FLAGS=0','FLAGS'), & option ('single-signal-mode',.false.,'s','decode at signal frequency only','') ] - naggressive=0 + naggressive=10 nfqso=1500 - ntrials=10000 + ntrials=100000 nexp_decode=0 - ntol=1000 + ntol=20 nsubmode=0 nlow=200 nhigh=4000 - n2pass=2 - ndepth=3 + n2pass=1 + ndepth=1 do call getopt('a:d:f:hm:n:rc:x:g:X:s',long_options,c,optarg,narglen,nstat,noffset,nremain,.true.) @@ -126,7 +126,7 @@ program jt65 call test(dd,nutc,nfa,nfb,nfqso,ntol,nsubmode, & n2pass,nrobust,ntrials,naggressive,ndepth, & mycall,hiscall,hisgrid,nexp_decode) - if(nft.gt.0) exit +! if(nft.gt.0) exit enddo call timer('jt65 ',1) diff --git a/lib/jt65_test.f90 b/lib/jt65_test.f90 index e1eac4ded..e4f4aa167 100644 --- a/lib/jt65_test.f90 +++ b/lib/jt65_test.f90 @@ -65,16 +65,11 @@ contains nwidth=max(nint(sqrt(t)),2) !### deal with nflip here! ### !### also single_decode, csync, etc... ### - write(*,1010) snr,dt,freq,decoded -1010 format(i4,f5.1,i5,1x,'#',1x,a22) - write(13,1012) nint(sync),snr,dt,freq,drift,nwidth, & + write(*,1012) nint(sync),snr,dt,freq,drift,nwidth, & decoded,ft,sum,smo 1012 format(i4,i5,f6.2,i5,i4,i3,1x,a22,' JT65',3i3) nft=ft call flush(6) -! write(79,3001) sync,snr,dt,freq,candidates, & -! hard_min,total_min,rtt,tries,ft,qual,decoded -!3001 format(f5.1,i4,f5.1,i5,i6,i3,i4,f6.3,i8,i2,i3,1x,a22) end subroutine my_callback