From ac859c8f68891b2467eacc6eba8034112502a02f Mon Sep 17 00:00:00 2001 From: Bill Somerville Date: Sun, 4 Dec 2016 00:55:07 +0000 Subject: [PATCH] Several rig control enhancements and fixes Use the new DX Lab Suite Commander command option to stop Commander trying to change the rig Tx VFO mode when we don't want to. Requires a recent DX Lab Suite Commander version. All rig controllers can now detect 20Hz resolution rigs, still needs 2Hz resolution code which will be needed for the FT-891 AFAIK. Enhance the HRD interface to be able to use the alternative PTT command as found on most Kenwood rigs for audio source selection. Fix Icom data mode selection issues. git-svn-id: svn+ssh://svn.code.sf.net/p/wsjt/wsjt/branches/wsjtx@7357 ab8295b8-cf94-4d9e-aec4-7959e3be5d79 --- DXLabSuiteCommanderTransceiver.cpp | 14 ++++ HRDTransceiver.cpp | 123 ++++++++++++++++++++-------- HRDTransceiver.hpp | 7 +- HamlibTransceiver.cpp | 15 +++- OmniRigTransceiver.cpp | 21 +++++ TransceiverBase.cpp | 32 -------- TransceiverBase.hpp | 5 -- TransceiverFactory.cpp | 2 +- contrib/Commander TCPIP Mesages.pdf | Bin 235422 -> 241379 bytes mainwindow.cpp | 16 +++- 10 files changed, 159 insertions(+), 76 deletions(-) diff --git a/DXLabSuiteCommanderTransceiver.cpp b/DXLabSuiteCommanderTransceiver.cpp index 49455268b..5cfa45fe6 100644 --- a/DXLabSuiteCommanderTransceiver.cpp +++ b/DXLabSuiteCommanderTransceiver.cpp @@ -88,9 +88,23 @@ int DXLabSuiteCommanderTransceiver::do_start () { case -5: resolution = -1; break; // 10Hz truncated case 5: resolution = 1; break; // 10Hz rounded + case -15: resolution = -2; break; // 20Hz truncated case -55: resolution = -2; break; // 100Hz truncated case 45: resolution = 2; break; // 100Hz rounded } + if (1 == resolution) // may be 20Hz rounded + { + test_frequency = f - f % 100 + 51; + f_string = frequency_to_string (test_frequency); + params = ("" + f_string).arg (f_string.size ()); + simple_command (("CmdSetFreq" + params).arg (params.size ())); + reply = command_with_reply ("CmdGetFreq"); + new_frequency = string_to_frequency (reply.mid (reply.indexOf ('>') + 1)); + if (9 == static_cast (new_frequency - test_frequency)) + { + resolution = 2; // 20Hz rounded + } + } f_string = frequency_to_string (f); params = ("" + f_string).arg (f_string.size ()); simple_command (("CmdSetFreq" + params).arg (params.size ())); diff --git a/HRDTransceiver.cpp b/HRDTransceiver.cpp index edfd70a65..c3b3929da 100644 --- a/HRDTransceiver.cpp +++ b/HRDTransceiver.cpp @@ -21,7 +21,7 @@ namespace void HRDTransceiver::register_transceivers (TransceiverFactory::Transceivers * registry, int id) { - (*registry)[HRD_transceiver_name] = TransceiverFactory::Capabilities (id, TransceiverFactory::Capabilities::network, true); + (*registry)[HRD_transceiver_name] = TransceiverFactory::Capabilities (id, TransceiverFactory::Capabilities::network, true, true /* maybe */); } struct HRDMessage @@ -69,11 +69,13 @@ struct HRDMessage HRDTransceiver::HRDTransceiver (std::unique_ptr wrapped , QString const& server , bool use_for_ptt + , TransceiverFactory::TXAudioSource audio_source , int poll_interval , QObject * parent) : PollingTransceiver {poll_interval, parent} , wrapped_ {std::move (wrapped)} , use_for_ptt_ {use_for_ptt} + , audio_source_ {audio_source} , server_ {server} , hrd_ {0} , protocol_ {none} @@ -84,14 +86,11 @@ HRDTransceiver::HRDTransceiver (std::unique_ptr wrapped , vfo_toggle_button_ {-1} , mode_A_dropdown_ {-1} , mode_B_dropdown_ {-1} + , data_mode_button_ {-1} , data_mode_dropdown_ {-1} - , data_mode_dropdown_selection_on_ {-1} - , data_mode_dropdown_selection_off_ {-1} , split_mode_button_ {-1} , split_mode_dropdown_ {-1} , split_mode_dropdown_write_only_ {false} - , split_mode_dropdown_selection_on_ {-1} - , split_mode_dropdown_selection_off_ {-1} , split_off_button_ {-1} , tx_A_button_ {-1} , tx_B_button_ {-1} @@ -99,6 +98,7 @@ HRDTransceiver::HRDTransceiver (std::unique_ptr wrapped , rx_B_button_ {-1} , receiver_dropdown_ {-1} , ptt_button_ {-1} + , alt_ptt_button_ {-1} , reversed_ {false} { } @@ -206,7 +206,7 @@ int HRDTransceiver::do_start () HRD_info << "Dropdowns:\n"; Q_FOREACH (auto const& dd, dropdown_names_) { - auto selections = send_command ("get dropdown-list {" + dd + "}").trimmed ().split (',', QString::SkipEmptyParts); + auto selections = send_command ("get dropdown-list {" + dd + "}").trimmed ().split (','); TRACE_CAT ("HRDTransceiver", "\t" << dd << ": {" << selections.join (", ") << "}"); HRD_info << "\t" << dd << ": {" << selections.join (", ") << "}\n"; dropdowns_[dd] = selections; @@ -233,8 +233,8 @@ int HRDTransceiver::do_start () vfo_toggle_button_ = find_button (QRegExp ("^(A~/~B)$")); - split_mode_button_ = find_button (QRegExp ("^(Spl~On|Spl_On|Split)$")); - split_off_button_ = find_button (QRegExp ("^(Spl~Off|Spl_Off)$")); + split_mode_button_ = find_button (QRegExp ("^(Spl~On|Spl_On|Split|Split~On)$")); + split_off_button_ = find_button (QRegExp ("^(Spl~Off|Spl_Off|Split~Off)$")); if ((split_mode_dropdown_ = find_dropdown (QRegExp ("^(Split)$"))) >= 0) { @@ -264,14 +264,23 @@ int HRDTransceiver::do_start () map_modes (mode_B_dropdown_, &mode_B_map_); } - // Some newer Icoms have a Data drop down with (Off, On, D1, D2, D3) + // Can't do this with ^Data$ as the button name because some Kenwood + // rigs have a "Data" button which is for turning the DSP on and off + //data_mode_button_ = find_button (QRegExp ("^(Data)$")); + + // Some newer Icoms have a Data drop down with (Off,On,D1,D2,D3) + // Some newer Icoms have a Data drop down with (Off,D1,D2,D3) + // Some newer Icoms have a Data drop down with + // (Off,,D1-FIL1,D1-FIL2,D1-FIL3) the missing value counts as an + // index value - I think it is a drop down separator line if ((data_mode_dropdown_ = find_dropdown (QRegExp ("^(Data)$"))) >= 0) { - data_mode_dropdown_selection_on_ = find_dropdown_selection (data_mode_dropdown_, QRegExp ("^(On)$")); + data_mode_dropdown_selection_on_ = find_dropdown_selection (data_mode_dropdown_, QRegExp ("^(On|D1|D1-FIL1)$")); data_mode_dropdown_selection_off_ = find_dropdown_selection (data_mode_dropdown_, QRegExp ("^(Off)$")); } ptt_button_ = find_button (QRegExp ("^(TX)$")); + alt_ptt_button_ = find_button (QRegExp ("^(TX~Alt|TX~Data)$")); if (vfo_count_ == 1 && ((vfo_B_button_ >= 0 && vfo_A_button_ >= 0) || vfo_toggle_button_ >= 0)) { @@ -308,9 +317,20 @@ int HRDTransceiver::do_start () { case -5: resolution = -1; break; // 10Hz truncated case 5: resolution = 1; break; // 10Hz rounded + case -15: resolution = -2; break; // 20Hz truncated case -55: resolution = -2; break; // 100Hz truncated case 45: resolution = 2; break; // 100Hz rounded } + if (1 == resolution) // may be 20Hz rounded + { + test_frequency = f - f % 100 + 51; + send_simple_command ("set frequency-hz " + QString::number (test_frequency)); + new_frequency = send_command ("get frequency").toUInt (); + if (9 == static_cast (new_frequency - test_frequency)) + { + resolution = 2; // 20Hz rounded + } + } send_simple_command ("set frequency-hz " + QString::number (f)); } return resolution; @@ -339,13 +359,14 @@ int HRDTransceiver::find_dropdown (QRegExp const& re) const std::vector HRDTransceiver::find_dropdown_selection (int dropdown, QRegExp const& re) const { - std::vector indices; + std::vector indices; // this will always contain at least a + // -1 auto list = dropdowns_.value (dropdown_names_.value (dropdown)); int index {0}; while (-1 != (index = list.lastIndexOf (re, index - 1))) { - // search backwards because more specialized modes tend to be later in - // list + // search backwards because more specialized modes tend to be + // later in list indices.push_back (index); if (!index) { @@ -359,9 +380,9 @@ void HRDTransceiver::map_modes (int dropdown, ModeMap *map) { // order matters here (both in the map and in the regexps) map->push_back (std::forward_as_tuple (CW, find_dropdown_selection (dropdown, QRegExp ("^(CW|CW\\(N\\))|CWL$")))); - map->push_back (std::forward_as_tuple (CW_R, find_dropdown_selection (dropdown, QRegExp ("^(CW-R|CW|CWU)$")))); - map->push_back (std::forward_as_tuple (LSB, find_dropdown_selection (dropdown, QRegExp ("^(LSB)$")))); - map->push_back (std::forward_as_tuple (USB, find_dropdown_selection (dropdown, QRegExp ("^(USB)$")))); + map->push_back (std::forward_as_tuple (CW_R, find_dropdown_selection (dropdown, QRegExp ("^(CW-R|CW-R\\(N\\)|CW|CWU)$")))); + map->push_back (std::forward_as_tuple (LSB, find_dropdown_selection (dropdown, QRegExp ("^(LSB\\(N\\)|LSB)$")))); + map->push_back (std::forward_as_tuple (USB, find_dropdown_selection (dropdown, QRegExp ("^(USB\\(N\\)|USB)$")))); map->push_back (std::forward_as_tuple (DIG_U, find_dropdown_selection (dropdown, QRegExp ("^(DIG|DIGU|DATA-U|PKT-U|DATA|USER-U|USB)$")))); map->push_back (std::forward_as_tuple (DIG_L, find_dropdown_selection (dropdown, QRegExp ("^(DIG|DIGL|DATA-L|PKT-L|DATA-R|USER-L|LSB)$")))); map->push_back (std::forward_as_tuple (FSK, find_dropdown_selection (dropdown, QRegExp ("^(DIG|FSK|RTTY|RTTY-LSB)$")))); @@ -448,7 +469,11 @@ void HRDTransceiver::do_ptt (bool on) TRACE_CAT ("HRDTransceiver", on); if (use_for_ptt_) { - if (ptt_button_ >= 0) + if (alt_ptt_button_ >= 0 && TransceiverFactory::TX_audio_source_rear == audio_source_) + { + set_button (alt_ptt_button_, on); + } + else if (ptt_button_ >= 0) { set_button (ptt_button_, on); } @@ -485,7 +510,23 @@ void HRDTransceiver::set_button (int button_index, bool checked) void HRDTransceiver::set_data_mode (MODE m) { - if (data_mode_dropdown_ >= 0) + if (data_mode_button_ >= 0) + { + switch (m) + { + case DIG_U: + case DIG_L: + case DIG_FM: + set_button (data_mode_button_, true); + break; + default: + set_button (data_mode_button_, false); + break; + } + } + else if (data_mode_dropdown_ >= 0 + && data_mode_dropdown_selection_off_.size () + && data_mode_dropdown_selection_on_.size ()) { switch (m) { @@ -503,9 +544,12 @@ void HRDTransceiver::set_data_mode (MODE m) auto HRDTransceiver::get_data_mode (MODE m, bool quiet) -> MODE { - if (data_mode_dropdown_ >= 0) + if (data_mode_dropdown_ >= 0 + && data_mode_dropdown_selection_off_.size ()) { auto selection = get_dropdown (data_mode_dropdown_, quiet); + // can't check for on here as there may be multiple on values so + // we must rely on the initial parse finding valid on values if (selection >= 0 && selection != data_mode_dropdown_selection_off_.front ()) { switch (m) @@ -547,11 +591,11 @@ void HRDTransceiver::do_tx_frequency (Frequency tx, MODE mode, bool /*no_ignore* // re-check if reversed VFOs bool rx_A {true}; bool rx_B {false}; - if (receiver_dropdown_ >= 0) + if (receiver_dropdown_ >= 0 && rx_A_selection_.size ()) { auto selection = get_dropdown (receiver_dropdown_); rx_A = selection == rx_A_selection_.front (); - if (!rx_A) + if (!rx_A && rx_B_selection_.size ()) { rx_B = selection == rx_B_selection_.front (); } @@ -590,7 +634,8 @@ void HRDTransceiver::do_tx_frequency (Frequency tx, MODE mode, bool /*no_ignore* set_data_mode (mode); set_button (reversed_ ? rx_B_button_ : rx_A_button_); } - else if (receiver_dropdown_ >= 0) + else if (receiver_dropdown_ >= 0 + && rx_A_selection_.size () && rx_B_selection_.size ()) { set_dropdown (receiver_dropdown_, (reversed_ ? rx_A_selection_ : rx_B_selection_).front ()); set_dropdown (mode_A_dropdown_, lookup_mode (mode, mode_A_map_)); @@ -652,7 +697,9 @@ void HRDTransceiver::do_tx_frequency (Frequency tx, MODE mode, bool /*no_ignore* set_button (split_mode_button_, split); } } - else if (split_mode_dropdown_ >= 0) + else if (split_mode_dropdown_ >= 0 + && split_mode_dropdown_selection_off_.size () + && split_mode_dropdown_selection_on_.size ()) { set_dropdown (split_mode_dropdown_, split ? split_mode_dropdown_selection_on_.front () : split_mode_dropdown_selection_off_.front ()); } @@ -666,7 +713,8 @@ void HRDTransceiver::do_tx_frequency (Frequency tx, MODE mode, bool /*no_ignore* { set_button (reversed_ ? rx_B_button_ : rx_A_button_); } - else if (receiver_dropdown_ >= 0) + else if (receiver_dropdown_ >= 0 + && rx_A_selection_.size () && rx_B_selection_.size ()) { set_dropdown (receiver_dropdown_, (reversed_ ? rx_B_selection_ : rx_A_selection_).front ()); } @@ -685,7 +733,8 @@ void HRDTransceiver::do_tx_frequency (Frequency tx, MODE mode, bool /*no_ignore* { set_button (reversed_ ? rx_B_button_ : rx_A_button_); } - else if (receiver_dropdown_ >= 0) + else if (receiver_dropdown_ >= 0 + && rx_A_selection_.size () && rx_B_selection_.size ()) { set_dropdown (receiver_dropdown_, (reversed_ ? rx_B_selection_ : rx_A_selection_).front ()); } @@ -729,7 +778,8 @@ void HRDTransceiver::do_mode (MODE mode) set_dropdown (mode_A_dropdown_, lookup_mode (mode, mode_A_map_)); set_button (rx_B_button_); } - else if (receiver_dropdown_ >= 0) + else if (receiver_dropdown_ >= 0 + && rx_A_selection_.size () && rx_B_selection_.size ()) { set_dropdown (receiver_dropdown_, rx_A_selection_.front ()); set_dropdown (mode_A_dropdown_, lookup_mode (mode, mode_A_map_)); @@ -766,7 +816,8 @@ void HRDTransceiver::do_mode (MODE mode) set_dropdown (mode_A_dropdown_, lookup_mode (mode, mode_A_map_)); set_button (rx_A_button_); } - else if (receiver_dropdown_ >= 0) + else if (receiver_dropdown_ >= 0 + && rx_A_selection_.size () && rx_B_selection_.size ()) { set_dropdown (receiver_dropdown_, rx_B_selection_.front ()); set_dropdown (mode_A_dropdown_, lookup_mode (mode, mode_A_map_)); @@ -825,9 +876,16 @@ void HRDTransceiver::poll () is_button_checked (tx_A_button_); is_button_checked (tx_B_button_); is_button_checked (ptt_button_); + is_button_checked (alt_ptt_button_); get_dropdown (mode_A_dropdown_); get_dropdown (mode_B_dropdown_); - get_dropdown (data_mode_dropdown_); + is_button_checked (data_mode_button_); + if (data_mode_dropdown_ >=0 + && data_mode_dropdown_selection_off_.size () + && data_mode_dropdown_selection_on_.size ()) + { + get_dropdown (data_mode_dropdown_); + } if (!split_mode_dropdown_write_only_) { get_dropdown (split_mode_dropdown_); @@ -841,7 +899,7 @@ void HRDTransceiver::poll () { // we are probably dealing with an Icom and have to guess SPLIT mode :( } - else if (split_mode_button_ >= 0) + else if (split_mode_button_ >= 0 && !(tx_A_button_ >= 0 && tx_B_button_ >= 0)) { update_split (is_button_checked (split_mode_button_, quiet)); } @@ -850,7 +908,8 @@ void HRDTransceiver::poll () if (!split_mode_dropdown_write_only_) { auto selection = get_dropdown (split_mode_dropdown_, quiet); - if (selection >= 0) + if (selection >= 0 + && split_mode_dropdown_selection_off_.size ()) { update_split (selection == split_mode_dropdown_selection_on_.front ()); } @@ -872,11 +931,11 @@ void HRDTransceiver::poll () // some rigs have dual Rx, we take VFO A/MAIN receiving as // normal and only say reversed when only VFO B/SUB is active // i.e. VFO A/MAIN muted VFO B/SUB active - if (receiver_dropdown_ >= 0) + if (receiver_dropdown_ >= 0 && rx_A_selection_.size ()) { auto selection = get_dropdown (receiver_dropdown_); rx_A = selection == rx_A_selection_.front (); - if (!rx_A) + if (!rx_A && rx_B_selection_.size ()) { rx_B = selection == rx_B_selection_.front (); } diff --git a/HRDTransceiver.hpp b/HRDTransceiver.hpp index 183b67a56..58247ee43 100644 --- a/HRDTransceiver.hpp +++ b/HRDTransceiver.hpp @@ -34,6 +34,7 @@ public: explicit HRDTransceiver (std::unique_ptr wrapped , QString const& server , bool use_for_ptt + , TransceiverFactory::TXAudioSource , int poll_interval , QObject * parent = nullptr); @@ -79,6 +80,8 @@ private: std::unique_ptr wrapped_; // may be null bool use_for_ptt_; // Use HRD for PTT. + TransceiverFactory::TXAudioSource audio_source_; // Select rear/data + // audio if available QString server_; // The TCP/IP addrress and port for // the HRD server. @@ -132,9 +135,9 @@ private: ModeMap mode_B_map_; // The map of modes for VFO B. + int data_mode_button_; // Button to select DATA mode int data_mode_dropdown_; // Index of data mode drop down, may // be -1 if no such drop down exists - std::vector data_mode_dropdown_selection_on_; // The drop down // selection to turn on data mode. @@ -183,6 +186,8 @@ private: std::vector rx_B_selection_; int ptt_button_; // The button to toggle PTT. + int alt_ptt_button_; // The alternative button to toggle + // PTT - used to select rear audio. bool reversed_; // True if VFOs are reversed. }; diff --git a/HamlibTransceiver.cpp b/HamlibTransceiver.cpp index e126bfc7b..21b5323be 100644 --- a/HamlibTransceiver.cpp +++ b/HamlibTransceiver.cpp @@ -568,8 +568,19 @@ int HamlibTransceiver::do_start () { case -5: resolution = -1; break; // 10Hz truncated case 5: resolution = 1; break; // 10Hz rounded - case -55: resolution = -2; break; // 100Hz truncated - case 45: resolution = 2; break; // 100Hz rounded + case -15: resolution = -2; break; // 20Hz truncated + case -55: resolution = -3; break; // 100Hz truncated + case 45: resolution = 3; break; // 100Hz rounded + } + if (1 == resolution) // may be 20Hz rounded + { + test_frequency = f - f % 100 + 51; + error_check (rig_set_freq (rig_.data (), RIG_VFO_CURR, test_frequency), tr ("setting frequency")); + error_check (rig_get_freq (rig_.data (), RIG_VFO_CURR, &new_frequency), tr ("getting current VFO frequency")); + if (9 == static_cast (new_frequency - test_frequency)) + { + resolution = 2; // 20Hz rounded + } } error_check (rig_set_freq (rig_.data (), RIG_VFO_CURR, current_frequency), tr ("setting frequency")); } diff --git a/OmniRigTransceiver.cpp b/OmniRigTransceiver.cpp index ade0927ca..fc6411d93 100644 --- a/OmniRigTransceiver.cpp +++ b/OmniRigTransceiver.cpp @@ -221,9 +221,30 @@ int OmniRigTransceiver::do_start () { case -5: resolution = -1; break; // 10Hz truncated case 5: resolution = 1; break; // 10Hz rounded + case -15: resolution = -2; break; // 20Hz truncated case -55: resolution = -2; break; // 100Hz truncated case 45: resolution = 2; break; // 100Hz rounded } + if (1 == resolution) // may be 20Hz rounded + { + test_frequency = f - f % 100 + 51; + if (OmniRig::PM_FREQ & writable_params_) + { + rig_->SetFreq (test_frequency); + } + else if (reversed_ && (OmniRig::PM_FREQB & writable_params_)) + { + rig_->SetFreqB (test_frequency); + } + else if (!reversed_ && (OmniRig::PM_FREQA & writable_params_)) + { + rig_->SetFreqA (test_frequency); + } + if (9 == rig_->GetRxFrequency () - test_frequency) + { + resolution = 2; // 20Hz rounded + } + } if (OmniRig::PM_FREQ & writable_params_) { rig_->SetFreq (f); diff --git a/TransceiverBase.cpp b/TransceiverBase.cpp index 22cd14df9..ed2868739 100644 --- a/TransceiverBase.cpp +++ b/TransceiverBase.cpp @@ -216,38 +216,6 @@ void TransceiverBase::update_PTT (bool state) actual_.ptt (state); } -bool TransceiverBase::maybe_low_resolution (Radio::Frequency low_res, - Radio::Frequency high_res) -{ - if (resolution_ != Resolution::truncate - && low_res == (high_res + 5) / 10 * 10) // rounded to 10's - { - resolution_ = Resolution::round; - return true; - } - if (resolution_ != Resolution::round - && low_res == high_res / 10 * 10) // truncated to 10's - { - resolution_ = Resolution::truncate; - return true; - } - - if (resolution_ != Resolution::truncate - && low_res == (high_res + 50) / 100 * 100) // rounded to 100's - { - resolution_ = Resolution::round; - return true; - } - if (resolution_ != Resolution::round - && low_res == high_res / 100 * 100) // truncated to 100's - { - resolution_ = Resolution::truncate; - return true; - } - - return false; -} - void TransceiverBase::update_complete (bool force_signal) { if ((do_pre_update () && actual_ != last_) || force_signal) diff --git a/TransceiverBase.hpp b/TransceiverBase.hpp index 5eec7aac8..ea981d44b 100644 --- a/TransceiverBase.hpp +++ b/TransceiverBase.hpp @@ -60,13 +60,9 @@ class TransceiverBase { Q_OBJECT; -private: - enum class Resolution {accurate, round, truncate}; - protected: TransceiverBase (QObject * parent) : Transceiver {parent} - , resolution_ {Resolution::accurate} , last_sequence_number_ {0} {} @@ -155,7 +151,6 @@ private: TransceiverState requested_; TransceiverState actual_; TransceiverState last_; - Resolution resolution_; // rig accuracy unsigned last_sequence_number_; // from set state operation }; diff --git a/TransceiverFactory.cpp b/TransceiverFactory.cpp index 4b0078abb..78ce22446 100644 --- a/TransceiverFactory.cpp +++ b/TransceiverFactory.cpp @@ -121,7 +121,7 @@ std::unique_ptr TransceiverFactory::create (ParameterPack const& pa } // wrap the basic Transceiver object instance with a decorator object that talks to ham Radio Deluxe - result.reset (new HRDTransceiver {std::move (basic_transceiver), params.network_port, PTT_method_CAT == params.ptt_type, params.poll_interval}); + result.reset (new HRDTransceiver {std::move (basic_transceiver), params.network_port, PTT_method_CAT == params.ptt_type, params.audio_source, params.poll_interval}); if (target_thread) { result->moveToThread (target_thread); diff --git a/contrib/Commander TCPIP Mesages.pdf b/contrib/Commander TCPIP Mesages.pdf index f1fb2a465fe899c85f60618c0f8e515ba0c32512..49932404199f14d5c2f6bb1b2c3c192eec542563 100644 GIT binary patch delta 117383 zcmb@tWprGMQr5d$XOQkAxRu%Hj8giT(I8VH~6gX#mIz9v`J7-PJ7jS@&Rah7a-o^Es zg^2?ayk|xQzQNZO-s|?y9}px|q?-LK5yMc>Y34462CcBmr|ZniK3^EA?&fORYZDwV z7B)uXY9;mR-NMAtwDLkZdbfN*r(;a5O`bPf$7@{j#cJ+ee*MfBhMnFny*lxjc&$F3 zZ;^GF@6Oa5Kg$@|Le~JHcK@VW>DQ-|6D|7$YPty%&wD;}x`}O|wzad}{|^g!%R06|nwII)%(r-Ru{RvoZ<&}XXdUsO~S^bVz5Q(BMO$G zQ7h0znpd`>E%g;xC(G0`pS!l6azJ%?3Kz?L2!XoS+Vjf0H}`VoFgNCClG&w&w4H!# zUR;PSXU!=$b2>7yWY5P~Cu?eLktCBvML*S)L2f1!da#@h(U^fQx>Tq9by-Zf$9<#8 zjCUYgij0j?G&X2F0$~S(l4yb4&2>Eb^+FVB*hfA7TRSuWr8_F>Y-u47D~h63LMM;A zm@`~@$RPNW$=?Kto~#7@UAW*Iua$A|O2o<) zi8<2c=MuxoPX{^kT5P4?u-iqOJQ{063!%HlihLo3q_VPBo<5yDZm_}3n1mObKSV8F zv%d@&^H2Ez#uj%~?ECa-b0a^&79OQY(%nIQ#$u<}FbSp_ZvkbD+2A*MLI`wlky?QZ z$G5%=Nzkwt4PE6ZW9rIEpd}Zr_jcG?_Lg zE;T|HFJ^{@buNHuRGmOKPDrG4Jz{F>{$4Rj8~8j6C?8sR*ZzJH>OJsQQYtas&9hdM z&tM7%XYf!(bh5Ej=IFxulr(TW>HEi;3qfKFcR=X*lm9LFF4FQMd6rAUELyXC)^27J z5q#QCZ}KBc0G>X#Q=pxXm~i=oR1rbwD-WdxDgu}*BME%b%!cBDcof7Hsf?uDZIEzB zft#ZuV7;zBG=dM_K>umxpqe1HE-RDvV(S+T8RgXvVZ=z;-k|TrrnFHk&fiuCeaHom zbw|T5Gq1>1@(KOXoYZZyFf(IA1B%FW9luD}Ay#vgdF0%)Qg)fhd?`vW_4w}m78q3W zRzXmI>2phtkW!OJ`+_7aI!l==Yz%9KnMa4*2oS6m<)q$_%hzAw3g)Ha=j_qeT)Tco z*A*Qv$sBobsh#w^={uE}^Ow{>`4b{;xVyDT!;_-q z!VKcP*?-e-*mBHzGp)&Azq04Rf3UGlIvCd>&*torqngfmP6yR#N~me z2mldoc%>Dgf37UTSn^rm3oMg=7fBz-`J;OPU{^-Te_87gGNHtIl`jm_K zx~9OCKsVH@7o{b-V=MBg858@yRRc#v+Vc$%}0%| z9-o|L!X+G!9JMT#8rhv0+cUp8ic;$U5rAK6M`p~TG1L~TP^IllTBiK%S2M;q7)DeD z`Y~k1FBz=e>-EmuP3f0>-i_w!aXBuf(mHA?0mm06&il7SqY>;RqD8kq{PMu&7U}cD z_v`#dh#Qz;v6g92Zxg5`I}f_WBA}4Hb(VGuz$!^Cb>zQx{%q3q4i&`Q8k$OOfCmux z&AwMQ}}~mS>-BKQzTBAUJ`5>WqL6Ct;M zoHF41s9CSK5PuPpI#LbK@xcw!O$M-27=5ZAQW_c?HVs4f|73ic!o^>UEWnHY2s4z- zV6^!?Df=^4hB}9uQ`{*`0^)m9&^F&0t8cihbP36(zuc==!R(v%Fw$?h`Cj6Nbd4MJ zWn?LtA)AC3mzXNiA2OUte+Kdp>LD-}bLTTfwo`aUhX>XV;svj^Z z8{Gj0P}3zZ@&&VRzd{Qj+ATPiZnYD@TYEESbd;D z0oq43uD&YN)wFQb?BjG$!^}`MN^bkN7>twLWCW@r1i}zM`f{X0X%F&|1TF}D)QHP* zMjENI?c(O!4UZqNe5&7;D-MrNytJCYM#p8+m~NQzb7Y9tg;w(Ct4;vau(fmLeh;6YOn2G?ahUdao9}HQN*ko z%O^HNX9cM9F4^%r@^C-~-H_N1R{?TVpb)KNrMaJpMAuw-?9W~kmZi^>X`YX6ea(?h zBz&{&kgDkK^fV$ZvMw}1a8x|y>(zso$6*cQJum)go`}ymKU)^*Tx58xxe&=u;8=FZ z7*u*VLD4Rx!~)$Kw z*Gy^Y#N6%9+S)~KpH{$}P4>W%xRi<1AJOoT*t$bg32yq__aT9r<(}S9D!te@G&Sr1 z$;NrUKWNc$uw?pICKArDq=?pN-r(XL@5s175PZ<`8>~&rHtna_yGg#9 zFYq^_C_j}1J=P=ZR|+eVaGElErS)98ogIEO6(&`s#UaVi`iMRWl@2PCL3AVjkd5g@ zg|+-NjKo17Iy7UEJ!Fw5uqR*;%_mtyo^%OXx^lC_AdCQ>q|w&$JKn-2rQo~SR!t44 zb4tPlnX9YfcV_4;zlr|a)u1Jr}uVYMB8PTOihKZ`V^thWQU&9at&~w z3cq6uZ}iWn@?2OCjwksO1M|>=@>=GHxiapEX5;j@HfSXmjVrH&3RsO{zDN+G*1rw=!^{7C zw-@kkHB|dytkEmi&4Wt=6b%Gb# zdaC#xvN@+1zH6}=t2sqpHL92CqbO!pE`+w`fxaS8l~t=u46sJRH?)u%_C-u7gFb1hTVc>?6#sG5gzWy?vNRjai@_R@w#wmDl zU<>m2Z2FU(QYR>!FOeKemnBGLoigvzSv7G`VIXt&iI(o!NMz;!RTB<6Zg>Zf zU+o?)*fd$}Z6}gj6<7*Lau>$ROg>#;zIVrAsw`VO3ll#=p^XlBCOkeO`aZ*tq3axP zmR&G$k~qd=|8Z#h=&COV)Xp?uo!u>G@_Z@IH$*1vIy;BNYwOs7W3=uHWk$X;iM@z3 z!nH8b&|JFwb4Vt~H;^OBJuVW>dPo5bE?Z{_=x66|C@+1>zRbBI;W`xlB_3>OjHT^J zaCdJEv?JYR6&k7uE#e(OPQM&jXypLEonj5D1YNx;uMVp&oB2C<0;gl5rp|SJS_PbZ zV5SNsP5bW8$3HHV5EF}T;n}vQ+M`C$TMyT1V6r z>dxyLJj|kf!l+iF#CYzve=Hdk9yu=EYM;`QJS(J{@;Q5KCfwJ$uOzZK_l|9S ztOL-7CJl<1LY10i#(qEq`Vo;-yTaK*?&$(IN{3?H(_t#DE3|!OqDIsznh7xuG?J@=%39MksV3Bs5|~b`~ugb60B@Qg$v@5D%I# z=n|zC#E6~@!Oq178bCk)E7?Jf7+!xbzyspItotkZ>W(n^!T%Co5H)t?-wqt01?=m; zk`pwFQ~OtPfdX+K{z`6;51tGJJ1Gxn0Ph;$U}gW`cYk^ge|LZA?}j-aqJOu4mi;{O z@Q4tKEqtdYbv_H`txUBK-RZQ7PD-cL;~lRr>10$@`2%0mD_kOp9>-j68g=lNDTD!fw# zwE4RwS@i?8BS44$9TDPKhk(dfZ2$|(V`zDSr5K;)Qn@)54|i)@$1oH^%6GFw(;lf_ zIUdsdLnHkt|F(|&??HKWD5#dr%;vC7rJVS{l$1aev(~+X_WY$m+x;dUI zao^+;Tqqb|!i4 zta?P#cos6#I&OWvKZ?x{rjeneRb0N-PNjKrPKsOCNx=HKUmmee!no>tf}0R@)wzay zovf4fe1ao_mD4=(Osf#zqWbhRdmz`$#RxY*##cJ9pqi`fk<4UHTU!NzT9MPq@Mnwe zD8SjF`xuYF<-t;iZv^#f{m7Oz+Eu6evyk6F-Q{EP?boYxTCdLz@1_|z1@FaTVpMA8 zi&h{?QV)2HHaLmJ+o(4iC|GCtu37rh(2a1Vn`=~coQN;sS0k-lpTd%{0n={`*(R7$ z10?o?7)gPAc+Tla@4s7T)Lad1nihV&M}UhNJzA-(=66TfT9_+pu-UX>UX%v^sx+PM zS{HLhNr4-z=2G}reVLXDY1uuCoE^1VN@_@nHl2*qJ9X%(w*Fpr0_TdT7%R^>!BXXS zR*V%V^TP24?`+;**woczly{a(-a0S(xK_>MiWx##h4y)$4|n1-K;Dgzh9m3_zJOx% z0T=b7gD(VwyCmxF5BkBeo`QwKw1aRDyHVnFwXh5iWUH$qt5L1kHStA_&-&Um!M0K}4Qpg^*584P?sRZ6P1ls1W5Y(GY48 z)iz!3jWT`Z*dtDCYukjxf7arNf`H#CynRFS*Xl5vV2P`#+ebkwM7|G0OvA2+&~^(D zVR6F%Wtn72nkG~2LEVgYu~RX81~X>!4@x(N{A*FU%<~46yuH!M%xUaqRT(`kSQ%i94X#;~=9X{ly6}=~b6!J36sYC+)*y7r zlp`D@){t#N65{bxC2y~G%>}nrSV>U{TEm0e`-B;hn?V~^1<2a|hD>u0R;y#45OPk?%;)`}bG#txHDh3Qc(FDTWavjHD$6;pr5Z_}crQqV^y z%t7Unox`Fz=BPOC5C#?eW@j>0{b}Ipz?Rfm3=cpk<*N%O)IuSE5+QU^Fe=q%eFGy5 zos4=a4^-wi*Xt(KL7@!6_~crwnwTnKws5lUs_CIxaCpD4v9?i`fMU9ur*BjC6Ip32 zCMUt^C9-5qaeJ=Gtv@dUj|>M7p2d&%7g) zm0|Ufo-f?L&p+aH7i%gY;*F`Iw=c6g>;#HR)sFbLoOT_^*UIzw{$8Z{`kMmd_e5>= z==R*ZAk0m<_ZQD&ZXmzjFRw3Hw{D_o8OTb^y8aMJV{RW1J{>0uzzMMyk2qCApct(eYD8v zpX$^@7lVhVvGa?)ukX=iLegz~!}|0JnfQqc%bq>l@!}&ZWG!|U7Sk#Kky^j`e1T$q&HHH8~_XA=7e&{)e~gZVxvET22w4#sl#*0%;b zSgp%i-avLL0=wz#okSIh+Itf9m7C%0xChz{uj%YwfCDdR!}tmoCZjIxqUL_h&`)3THwDzabJ9`S)Mdm(kWVa(+(4MWAVWl`|B|>1E~(k-k8gyFxYvH(6x2f+LLR zfZ4T3fUe?h!IX6=rwu$)@1sUNnBpQp4u2Y@|69X)g4O^4akXZF# zA5Cps3>MA-O+S zuTw6MU@xa@$ZuEFJ^?}(jNOfaCF#s=V-kg?fMbV6oC!n1$SV{6eEiM%jM&gCPKs2J zLpKS3vHF6Iu(>>$Ak0i~A9bD|kl60iI#R!(N&pEyky5X7S%hXSnD^HGohe0zI1QHF zeguQ2RKJcf?=7|9w&N&GyM_a&LX4gdg1OwpyL;8W#Cl=xS_U6~-+#?=1 zAXI3~u7asytC>1A{`fAo5EgUY=j3>mi*Wf^4BI^sedeCVDv89W*%xhbxdMVozpeZ zrj9W*cc`K;k?AUg!9SaF;X#*2eexu*1%#pRx!m$$X5hi@!#zG#W1tzS$Eg*`DugJ3 z3-=64pU#NsVyZIFH#Y7fVQm#4rqamBa(=zMj#m68BFXs`()^A72ZSiH+E^#aV3pO~Y9>k}_j4&a%& zJ40V6)MqDTQJx4bXv6wQe_;A7Y{3ai|K4V~9c%T#GPV~{w(tG26}_B&Ps^lhFq|oS zCTQQLM9*3OK>bjK@#eLhG>D;c#$^(QF*R&Avl{+W5IYdwPDa2%Rf2L)lX~4lRU)UG z_$U5`gF=0;zf%xVC;+zcu;M0;=-j|6h$x1d-#je$bJ!{CavFp? z?zEB~n#!fi=sXBHFG&_wP9F+KOKyW3uJ^lL^<#rPD(B_WO5O=dRd(%J=1IN9!MQx@ zSu&O|So$B@V56lk!CVSa61x=o_@@(vPNcO^ZQJ9m6lU*S-n>i2VF;d&K|uWcZz55Q z=QwIsSE;C!4q?Rt#*DJ}^#@LvJcR=)%x9)xl7$ufCAU{C0lpQ6p~XSpbw82(W@!gw z0i@UUqb`*vTmL#K=Gcz2P#pgGOMaW(CdkHs=_iJskh1R`Zz{gQnzH_H>Rkzzq{ez^tGpx<%Iy1aiWzW3&g0U885|n$qvh&Ce3BB7!ZwKZA8O*= zP9Zt-orvSkd!C4(tfG8G%t!t0s?a9R%$F%KKZH~HJ*7W4|Kwj*RSCE-$EOdeW*QX+ z+W~@{XCBkV$A_=?dMXyei$ ziVm-K6PO8mrJjn-XKd|nDqQ8s2pl~-B+RApLpvCQxJG@doF!~n`PMI zyp*&Q(~P9AS>KE+3YfI?;QKBYNKb(=y@2#eX0$O}Sh!k#%@MA=j|ogMI$`g)lQ!CN zM+Iud+-Q}Dz-7p?f-(fCk^&Rbg|s44gN@uuCQIfZnpt*k!7K3Pt}k)xMNR|-V)ZUw znW{?cEX6721&>gME4RD^rhZ5By6S#ve7vRA%3VmffmHY1Ww`o>v~^*RYdSI zBFc1Q=$@GOGJR)~QES+hy*+_MtubW!Nf)DXWY_G)~1_MZ97_`<1V4;*vVcABVl zXWP{T)qGAr-kG89tY0~&ad2L~o}KzGz5=ft_Tn8XfK%2wdmMOMubTj5*(pN*A$b^j zn6zkWTbwu>GFNS-&17z8Jy#!FqS&+O#jrvyMq1%Lf=C@aMSQkl55$XwD@Sz}48&7f zFg6>0ChQHb?1r|!_e2kmG!eS>@Ee=TcVUm^RAhjdPF7?PAIP+^$l8<#7u;hiwNdB2 z#X6o5|Iwe?lv5a%HroK;)bn~+ze|8xQs>^(h~*ocJC)Y@jN|?U%P+t<7U8CcrZ~2X zt3|(wgyEhVz*|-8lECC|RpJcBD-c>~(^6|ENuSW_<7)VHlw8a&Ooi%vXo)wQ$ZRY3r2Dnpd|i?xVZ>sRwL zIguEWX7n&w&F=6%+i*LjLdo{iZvNEV)}zNe?Lm_G---Ra>eO-`M89VRNu1>z&j@~b zhy7@Lg-~@LF}g%$5^=^SEM#k#z1UEO{+`0($xeG}oEhY1Cf3c1OfVAoBUp9fxXw0j zqO>BprRr<82|F#oy*0E>Ew~AHRGfkM2|Kd~VaI)fw^!9To zPi&>FQ^j?F(U@_l{ zGq5iB+13c>z9>GFF(}Mob(^tg3H12Ozlhdx4D=*{a;TTIn3tFtBm%2KGdJ0vya7D| z_-pcyK~kdA3!6o(^>T>8f75De-HnaXFwEKT4}Rw>#bT+>oT!SGzqslY8#3<4ajAB? z_fHEKl)qC-U81h#sUYzf@)D&wl43YIB1nZzfz$cmGI_p7y%dXmuy_tLp7MVCP@jwu z4{-TVEy%Z$ir!fjIWlhCn194nqvk7u6jWvTDbIH(-&#t~i2ERN0H*ko_9TQVo#9~< zkh=0gekfmA3Xe7j%G_~<_Y5=j3PsBd;*Nh8I}mZ0kUje+7Fx}W1WLhU_*Wzn1soR? zNc{!;Z;TRL9);r{XXCGr^3~K937(xRnGg?x8sOmO`agY;9d7i0e2~DeG!Sgb8;L<( zUDP(nbZPh1=?!`X51Rwaludm~?aThFMgT{Fc~pbc{(A3LKPQyx3*^sUU&xyL=|Ct{&C9?g}rwg>-! zmfA)Kf|>vPn3cO0v!xnUW8{+(T;tqS!w8%6+!inis0_P1@GhnfHbxR)U^TW|H0nb4 zx?{W6t^FXp^f8ln^gRZrK{N;xQ7E$Lrb}jqV!=A&J1t8`81P1;^+8)i;df|e=li6N z-s8?2lC(8YAKwE7A8O6lYSi8~njED@pU8k?jd9*33ssK^&l`SZiNg~0aQ990O1Gxh zM{Cs|XwqHi%xWKBxJhpLwUDjUA8o8fym%H+nLjYY_oQ4}A(cOkSd%PvS-KbNRq})w zgGrp6eaVu}fg2#LC#YCdsV&^KVC7rN=I4d`TM`MPNV~eYYj6@_7YN1b+N5X{@(R{yK|RN+`{m_EKIFA9N{S(R3`g z@5bt2bzL+9dt;GI9Z>;fct9e$V@5AkyAh$!p4fskkD+vZ>v$9wFI-`e?d_eQNy|mO zQ?U;NlDWg4t!H_nAcHOT6@~Y zzW*ELW3)_d9H;!*1f z)BD`vXGq4h>GvHCn)7Hmky7P_o877B_;4v0VU4;d61w7KYF8@9j0 z#VHPRaFiQUgsJrpFv$99y!VMnRI`Gjo>{>HZPCX{)rJjzaY7PI_^_XcraPB~S`J5~ zhZElk-nRzIhMzeZ+A%m{*5!+@5MS@)ZpkOUL)i;N*$56@5Fcr0F2b(E;EL%iK?3tb<| zFJQwr3}Z=hcjWAR?obxfSY5NZ^dT2q!3|x5d6>&VM&x`+Pq~T}z5xGKlPnyqxk3bz zQA`LStvOiG$g$J@#nhhVyM*h|t2)W#r!w=H@c4V(&A{FDymIpv=ibB+o1`%&G|2ky zFAtf>JK-W^zw`fsWMZsgNB4R5B!tlv%M=m>zfJAO(xeSSjF%}+jp?u&{Sl_%Y zA;ZIBBkI%J$qfb`Fm)x!v^CN@G)^j|bUVgtPCfqkyR2y_$dwFEzR-b_`1D>V0rDi+{Twqf&NQf`xHZE3?GMG8Y|D?o*s@0F+N!FJ6Y`m1Wed$ zp!J_jB`Q+aGGy0JQt+c(a2ri4s3qzI;oE|6)HJ@ow+u-t0T`i{dgADQTP!*dpVHlF zYZ(K(WHajO+aGq**?kFIOmmLJd-pR2uqv&U9qgkWn~}(Cx;956TG#FuO;E01m?MvLB@FWp;UR(61|M-b~&0u znT0>T@;mF0GxWM;L1v;UqAy?hX%n8u49e%gdQke^M}J|(i($-dCuz%C<_cNUFZ7j# zI<4C)v3H3sVKSse)N?K`>fa|fnfMZ6-Cg);V(0};R!Ji>*EV1OYk5bL)}=vH z=2Xh1Z*SEk8H>lOv$=Mbr~%=ip6?3<*f?+h%baMf{ zLx9dsGkrtAo?gn>Pru3(8F@TNq$?tMxqao@kW=x3<~DZgtP86pW)F!lrUj-^|Il@8 z$4At40o%!JeWJmBT=_8PS)*4Zmq1`_`EnKOigu^#w-Niurt`c~42dR!%6#_7i=A%k z`C(_(RC`HU)hwKyk-&{1aJp&&bD;pmdCmS~tD<=&rD-9hfi{e*v z2@_WfS_yu3RyJ!gbV?t_UHzXqT^_wc6 zV%;O_&ZGOkWTaJoZ(kfr&DTfmA8PvGm~m@qdG;th=rL2ZWn)o{{7tSAbw@rH`6F*G zYAmk;ORwLSC8pT{6Gw1tTepqrHTF;!AS5SJlRC2P_c?;d*1X;~$i+$$>VqQ9gB#Ahxk!F3W zU$Apj&8J05*mTS6! zEi}as0cA^jCUKL+7(^U7i@b;zLn}yx|NH@C1JB% zpF&tLk%G7f<#lCDT+DK*Tm_2+@6-Pj?WoZ zL2gy(a`5LfpN8kJMzl~M@SF5+Nbq)uEhkfwc-)v=lrH*3ca$Ai!a167j4x}+*?yrg zU^KLSB{FJG>J--Kal+(KfmNZ$n06t}TiUoRCBYO@ zQITZLQ^EXgxI!hp@v&&L-Bf0fZWzNHCE}ukh{x4|H9`E4qxiD`c$7{sKdvKm^QXbF z#mbyRwH>D#S?%jp(#;JSM8|Y&tl+gG2+nRV1>-OnSnjAlCfC(MC24<;T37qv633)d zc-tfGg!QZB^9gw?)(2p@lY5O0LUO>0b&;Ql=lS-_(gBqF8GXj-+=F_#q0R{P{wKXI3AZ=D>e&4cpl6x(xEEQfS$0C zxj0-~~ zH2#4eQPrKVyw@x-!X<%NdI%#JBvpKa|4Y_Ko-M5EWc$*Nj8P&}wDJSor1i zl5^Mg>HYHb^7iuejb*U6u64zO<-Eh!#n$zh`-;`3%?n|5KoFAFmx53$4t`LIoEgMM$;zw~@xt&Kl6gJM73 z=0Xj2>RmqM`My}MdVQo~b@Pi>q8`F`SE63Ri&mjN!gp5*!e7GRBTRKB+89H^$T{Ww zPx#v=l6e*!#kvE*Z$hNBgrP$hl{o&p+I5E zkH!(6BHPEfuRw>2iyk5>ii;f*D2j_8(k+Th90D$eOP)w3_G`ThH5UR zlmQMR+P~QU0$?0<3lZ%v%<{m7!ditp{TEmxqWvJ-_9vt2Xf{O8mxxAVQ|jX+FT!98 z4o{UBH62g<6i6nDiy2}fii;bPD~d}PvL%X38bT(9N1k|$^nYkD{Er5I$DMWS{tx?C z75E4LtpOsMl3%d#f78GzG<*Pc3YQ#TT*55;uR0HIPTNs;RR32E@~`p#t=WHU^Z##< zJRzl!n3tTgmDWK5RfTYpx{R=fu#T{ru;wr~;!RGVs`)aG_tfl+W2CD|4y0cV= zs)c*+e?wV)#dM?G2EAX|?SBaH|1z_(=nD3R;YIrYNg9V&>0Qc3E7(0ASGQckCWwSR z9+$W5!m5Zm+#i=^%PVRdhL`E>%E~LyMd}vwcT4zmW3tRfY7Th6W$+bc75smcqOAW` zfd5nq4fF6t*p|$Wsag{*<)#__R8Tf0?8@aa{HdgDOZb@86m!W{@9j0PS-qlBUm7S(iNj5h?cb8qJa!%egK=+j0rixECH$?ZAJ*KKj-Zez` zmA$5#N;Wq__m_R9x=P+Png#=|eByu5EP9L-#Sw#M)tZb zu3zsxU*BC@1bhK+m)G;-x2NKnqk~?YVw{V0^5PqT&JJPXi~IJcwYjL3ncxM$=SL?= z+xzzO@z~K^Fi%!{v%i-wt4CF^O_gKSI&nvf|K0h0ijUv*`B{}n4*7#YMZu5T*QgZF z+8Y*Af0j4kY<%5$Y3-e)>YPMg{f2Dk?X9?_^C8!_t?z?|k0lnM97`oMhi|&!@=>7cgN1dOUlK?{a?a0 z;Nal8eT~S+>yQDGMu{mp>V3f?_MnOeUkx&`eR*~bNeho8>C_qA*p)g`?dG_%&oo2OHj!ME?OxDUoVWP`<1hlJ}WJWq!*zr zGjnJ9pUwgYm1s0(_PtHiZkg^wrLyL9Gm|fCjDz~-hb?k$<4~i!^@&z z;b`S*%|^=3%E86P4w~g<0w6faG^p_7T=#L{&sgU0>hR$>v@wt0e~Mw6G{|pu#|i9 z*+T-!EOUj??)}8DwH?ez;!;*lct(;^6sT#iTqPLMy+^fpjT+*15mR)`aycyIF=M27 zCZN{L&~ClhPJoXF$mgXMDhQ2uu8N+r(e@V5n;oXg6Q8_GnO_jvZ>PIx`1;$(4qxg2SldI(Fq z?!&koWVm&+^fYcS(u*%dpR19(6K#Cxb2i;L^j5!f&d(fV{XPr=eU)v- ze94l>Y&nlEa+%O1E^d_NM?RT;<-i$re7)X=gph5Z9oHJv0e;{xx@Mb=_1%-0ymT%7 zu;NlA>MRZ~C|?L4-N9zIHY~85g6})nc|(|R&`r4-c>K}ls4Z{)By=?>mYfafwLCqi z#%xbS)4g({t+jJ-L?!Ft@Pu}FyKWpQvFp~@PQUWeB2i`1sC)-?c;A*qWz4Q&i1P(E zE-K}U2{!!wUqMEqyxPitOkp2exubi7KVYH}>NYKz>HvTNEd_c3LZVLb1!`>-m{ z6cm;&WRAP9WB@rPY{9YNSw`PA5>>g7n1Y5zH1WL9cx)o3A0wrWd81?@&yo5w%HkZS zqIUrERzoLJ2a0h!;vRHI#k7K&@rrSO^{?t(?G;aL3ZOi+s@J34{T5}a2SPr^v9v{* zCrR@#t8m8HBgen77Nkj1J@I4Bsw!3UkR(TM)1%d&axCUa(;yKLviioC&MY z+K(qeJ~yAJTt|%b70s$UwIHoO@)fcH-}%Us(@Z z*-(vW#jT(usGM|9U0zll*W|K+qkCek8kL^jyWG*Dw4iuSvt2%@qh7n)rSl-GbHBHv zB~ZE`2e<@iLU0#|E&g^0m!_!(bt_RC5>w1}Ow2Dk1ILNYA3q>UGj(-L!>Kca&kwFE zKX>eyCbpBS#wC=h6f{2tc}JqIjFCH&(|UxN7V_*`8aSS#d-yADynya_<5ysj7{QVG zA>-kOHTRtO!6c_OM&>7>A4O#(Ge>FTg?N)*uBFdtW5v+G?8M})f^A5aWZk4c_gES7YHCv!t5_IPt4!K*fy#)+l-ja$uCXpsJ>IuDR)?nKao|)GaMZ(s_c|mDXWoiXVbM;Nje?CSh{+AR zmU!Y2$Gor{ASWzWd75If_)z5z$vZ7?QbaZVnO`XXsBRY7FJ``^YU-vQyCs!4xa0Fl z4$Cy~HCL|$;u*an%yI74Vj1iWLkQgOyMJ+qT$Pwn?!w{2ZpNF;)6_?A*VNMP@4o9*ta{VWe4$FOIWjCWNe&HoU_5{EE4YFJ6Hv zKEJTPgx6SUBX{gbpq|ff5ZvYY<%6sE>%#u+*>Xn5n>256=bW<3vz@6Dj>~hbqtZvs zM)8N|!L9gOaTK4y=+TByvB(2P$_yL_^AbKIoK%8^?AC`Y1s$!qCE)AiZ*eGf z?rl}mZOx8{^lI^qJ>|=u9d&Sj^#^ofu^x14>xl)zhEn(6U);>yCG_S6+;$?$#gusC z=HedhHG|m%a}b3@E%m(z?B7Y|p9#b-kZCE}RdW8Cl&Mp-tMMtRXQT16`8ICrHAB>& z=>8DF5lhy7siWckdRKdZ$G?!YlO5Ol01GVM2(E)i+Sw-j^*Bttmg?cZU65id$hGjq zjXD)4Qb{FxjrNrYL?T83bE4Po5*En|k`*HdvO&k=RSIi;e+TK0Oq6To;aXzUWW)yb zZ&#mARMj^k(o+|hN$qMaIs*Q40#!z2N_&^YQncf^!!z z)VxLlMBkOFX8h8PjvhVm{t?K-Rr=WS_KD0!Sutdt+ivYDTiFxrcjG5oFyVD!ucaQs zpldNoaDEVuxQSKc%@LA!`)>lFk2j=UEq54IdM1aOmQ$tH8I#6k2jW&C?no@UB#ns~ zwz}=zDo4CH2OUC7`KmoFp|;Od*9_fcypgZN^FAtsE<;)6@5rM6o}6=7WC*vVT1;oJ zNi(O0IwRLW>*Ab%78q*rP!;4xY`*77N&Z6~?|vLc*zNzapy+V8=ldD3O!MUSdgdzy znmRljkWpgXbsrpTHCV>c6lilMPNrG^K{#^oZBWXY_Y^s-dutM8kloeDvGfC3FJo?9 zpbCt1oEV+KC!aO#hm;kM z)T-GwGY9kdPJ}qS1?e|$p>^IW7$#>MXXhG~3?&%fOeKgVBw1g9y57TjFMIA66Zf|_ z(F~zgs)z9xbSM$<81l}@lO;)~5ZYgoZ$v@=dcZ!38li?$Cj6PblML`SOkt!30j&fW z=WNc&M^~QhS)NP|&c&V}L0OsVwyc0b41)f>Z!P+k$t$0g#3NVszUgF8H}=|j+>Q&B zqgtUDy)ysX*4c>R7wxn$e2Je6I>rC993CPB?a2yuP7Bkx9lp|&k+HFv0RBlS8F3jY zo3aYHmk$1W1E%g56F}9fV^q|ER?Iny^;>oLtK8-P!_+qgY1Tx`PEVWDwr$%sr)}GI ze{I{gZQHhO+wME(o*Qxhi2YCz`=M&>l`CUMRpzQLj(^EpXo%Q_Q1Czc&%$Hv{bMFg zBAz)YfPXll8kVe{oeYaXs1p+t-A^`izyb1Qa|E#;-0$5!2a{c2q^7CW4%B5my zBPuDrHg+Z@`B-Y%2YwVw68ha=P3pn8=+&UWtqZqi<@hOm%ux1ZW9@)J+`_-aRR@Sa zlZRM-KP3VrWS9K!P2&H}cZ%}He+ng_B;>UQoXZ`sHONP6?6_(*wzX;(6V@T!!-xL( z(b!1BL6mweH=;4ix0-{BMZ&R`k!d9-%bKu)eZ0ZZ*=%GBAEr_R62(3h@&f;sv|AcdY95`m9&wKm%3vv$OpaBRYfX|Ta<8iIw+FEGXZ zHRo$hPfkcn$_{Fpd_>5Tw@PemM1CzUS|=Y$P6)r+q=;TjoIFfC)Pcmbf4=>l^lGfu zM{r8O#1{+Hg*F6W2+7*jp*I)%vT6Qve%%-FMjy3*DCN_l{$G@~M4LS7 zAdg@N=l{1HC7-mSjQ42x?}Jr}y`BL8rvyHMDqa9$IgwaYqGm6c{*I zBzyonfRE33?Wfy#6kg0!@EbVU$w}hT;UTuk$`0Nj2Egsl-9)Xw5WMSQb%6(6xFOud zWAuMGJ@rA0<;|o3ywFVzhIBSu6XcxrY#P8u;n{>LA1 z?D+fxmWCn8L%a4(`@3uqTZ6w2;dDu#fzpgTM49fJ{QEfxH5MZC?W!;H~g~GP}WW3W?4xE>)6+>2xn2($y$>^}`PbA((TsZu~+*%)Z48;2*-ku<4q+dNUB*avy(Y#8XTQHxf92hmgj)DE@vyrgT@P@t)_w~8@Gg?P!s~1GkUpwn4PS1 zt!ImuFE}%qD+o3?$(T#*J+zfZrGFhQy1&A5xEGo^-o>T8% zd3}`94q!kv`iQpv7VJpikf8uPa2Cp&=EiY2#^`tF@EDb}}8L5!%f;Cp8G5dh;R=PSenO3d+#jrlp% z7GB>mZObG#D%(RUpl-n>81OY(43?k7Cxm>|D%Cm6#-}x$$VS$KZ&uL;uEJ{8lW$f? zYe`j2VatPCj^h00>)r}6Ps!yI_^J|(w^o)t;hzb)QDAc}>3HyF(~|d#x{#(`+#u_~E|>ux@WdA|J!riO zy$$!6Ov;aSU>{TU;>2IdT<`q%e6$_?j6_&EqHUPGa|bNW$_jTochxkG z(h4Wj!=~GLtBDPzWPGw+d?ff+LGpxbQgFjoxpu{8d1TVDcQq+tml}ybCspg@RD(tm zCd?t9=cH*(8(QwhCK5@{u^FH9Wb@b6xaKdI9aOf;YmoDB9>~oIq*7e9opfDtDN-A6 z93jd5Du6!L{^tJY-p6?t>W$h?vMw>WGwt!9q<)irm4C0Edtv4~pK&!%Pz*Yp( zG3!{RT>><^EuZIZLi~Ho!yX%XbrgGlLkv|0;$rN z(XuV0iMcL$LTv(Z`R}BLtTD}6<LJpE2ECS|s@YJVLVC$~Ku!Fj7N9_vaDLBR zo3_7qz;i6DgEY5DSL*HYj2#7BF}8E2T5p{+)A6>%l}!?F;nR>EYR^a2Ik!zh;|OX*?@7NEMIz}lfhTsbRV=20K6>&p~+M{_RNB6DC_ic>vDq5v2w80TQfV1!`q0eqp^UA98Zzc6>AEpz6L zSXH78b7qTJCcAoetrggWj1T>GJiu|Q4MAhuidx;nf5>CH-dxs_^ZN=&G zf(#SIr%L|E9hN*eli{s!TBYgyYm4$~niy0QRaf-Iu{rv$v>Uc(S@olFb55uvBhc^^mjHZXTHxCJErfRy{IjwyZwj$sSXC8jx(pG}IG#gV} zL0b{c8^4J+eT2%w!rS=~;I?0z{grT4RjxI|dnDq$<|BFZ@mEfocB_(@TLbL)C<>af&WjbBHb{-L5I4Lhx~lKBKu0)Paxn)R}UU_1Rf^ z3>*Jcx?BUBT`pke80OW;$cz_~rcwQ2EpxWtJD(&yVg@{gIp?@PeypVJRjvM*@kujf zGj)Pxi+07#Gk&dwx0@DkhCfYP5;gr`mV17J^(%AZ0>JHAc89(cjf4!nSIv%b*r=s}#<#qTh^DOi&zX zyUE@GXt`!84Yk8kqM(Yc^ePOsWtlq!j}p7g>>msyE7Miio@y|1&F2h!`a76A-sE#@ zpd=iO9|-f$%~WKZ8ZY;1PhY7dIC$^$Ht5RFiq!~tj zd_K`e#-YBc&Ejx%2MEor5Li|w<{Hm6AQc4w*3?03zMd4{CwXnS;H#7M>JQ%x2KuI^ ziYuIVhTFCgs3R72j&Z}y_=DyUuLmX;{=uTDEhiw>n zL=i5FK(l-D9nFilh4jzQ&Q(M4#ZQO;U_rwRsLc}N!>2Nj1X4yRqbTS{xGd$ouylA+ zxowT{thIYqmB@=Ssv{MeCm{lE6iv^EmfyMuWH^25CYMcHOppr#E|y8f5B4 zRrfsO0plz0i_=%-I5Fa>HU+wKc&V^rn0ix}rkAkIisi8;k}VSd{NYJEP|d7;yFxgR7MG_6jrnyf!b35pJ^Lo&DDuZqB807r0Lk_QO6_4!ySqvHH$=(Bd9Iq zcFQL`=VfQ!t+$ftnI-Ng%JjeseaQSD&@DeAO*zdo8tM%*@C63w21CeN{EU9uVF!$k z?5!!4hMn4`)rko!@DFrAJg=dy)Te`DN-uP@V{%DoRPhjcmt-A9 zT_f;3q;{|57P5&)8$%nXIxPLn|E%s5`fmAzMO9{?@-g;BRH;v@8<#e&a|pT5yU(*} zzXsjXeKPq{f3JANd6%SF=$wb(>oCt$3jDi1Yz??*1S&T-_tKN%}|~A7bv|Ni==@wv$^J0vyo3 zL>QRpHfXl!joV;N?zV3O+M|E^YC@pUF)P|^ppZ1i`&a>U-w*T+?ZrxEe^8%_Edii1CnG-dO7k| z|KmLlA4Ze96tk*smGj&MXP0305OMcBM@AXNn*z9U$7HIY7}!kvQ^_5NWu)uotx0M`Wu5?CwZMuGz#*Wrl}A?AzAN5?#7aOiWYCGlh_kAYbdb z1)@T%xCi8(pd+3PED{MzA`Xft+?gRq?9d3ARYQPOKy}|%E$iOd>jV{8elFGNxbMII zLfw#~8Qo#0rk6h-WsgkGQIjXpAD|Z1_lryL#1IoZ0Eoup%;Zw|W|Koq1SDp$nCMF?D2g7zD&I&SvOTdm$kt!HyRlQB}o zxz}gL443V8eb9(Ud8a6+Zp4yc#L9u(A(|za5zK;fsje_sAUIsZ=@h%fXqFRa*AY^} z9QgzyM&yu5NZAl^nq3L}-75Bg^bLbLMKVBb@ECJAzVc)ihkv@_WK<@)NgRmz={5mqKmBXch_ z#EEgin=r1ExYx!AF{9?h)9KrD*oJazs$KLN(`8N1n*PN!$JxhUf%YtunC0|4rV(HZ z6qC>S!FMugeA3*&)bO%p#56{l5eSMXF0K}8T#bS(F|qoau$Cid{sK%PiACDXGLZ*Rc5R&MOJXQ#15@6GRp0+pfM0=UkiyC? z*@OR~?PQum@4km}yLoF(m?V1W>wo9k12qB?!?Y*rWQ-OUR{O;k_y+2#V1y%qH6pKU z_>ybU2+zq;xC-Dc8_e2hRw#7pvten>7BZF%ogHR1%6KK*XX}58;s#hAjWG*JH7jU? zm$B$!62v2)h6ttH2ydqGSw9ttpQQ0|G}Ar(3W0oKe<2iW#e#pH3p0Ruq1dz+jtg$s2T zm;z}GB^Ea5)`#ZU5dhHB8A-b?+YKzcH%2mlgn=@{ykzl!Q})~c(|?Ws81hV>ew68$ zQUB6dwxGDAG9URpDuBSOAX!GJo}T)dB_)lZiC zEb#UMbi!7f$^N4tgzcJ!4UDE^!%FSB1sM2mRA1f5lti*l(OeU|EOX+05?Xt~iM%K_ zEA7uO_mFHi(=h-K7u$}*#PJkg_t8ExN1(gcHuSbVN2 z_R_z5==K{s*=WHoq*KSYwu1E#E-huX8$zn{c@EP*w9T??b76L9_Bavx9Ns=4l`rb2U^WPIhX#m6Zu|9#h;2NpLR^d66Je% zq*0%FTNsg;PuTf=M7`>#DoaYy^{efXIr8*c0*`^FTz$1_8JyHPH=TOn-wp$eh=+(* z$IDn*9gXI+ZED@g$Vc?FP1{Xe({{`Dhu6g6i3^|iNK(Kr3ZxnA17P1oVw-6SS=bP4 z&hNb6ffPy!m|nd}_Cr^5+zcXRk0Vg8YQ_LR`LpPbCVBMD9BcX8jcSl3!Y&>Kk+l;& zlrI4li$MrG!mZq%>y^lr%EUT4j#L{Z0E$1?F7BDm(Xm*1!X#Gyrm8JtByPmjQTJycrh!`Jhls@Sik`vz^Q=&XZu?U* znO96)M)Fjv^O2 z36p;ZQ9~5e<;wTcrA9bNNZtQBubd4&MQ4q9qg4GC#k;|lH-wtF{)q7RZ{=jj&j z0PT&syyMi%+zeim)((670!1x?S{it$``r>9M+NfjF9IU1ry|M`r2#pF;9F{nrv?UKiIj}6uL-#t z5l%*~rrF%}UjC4}s(ltviti%m(hW?g2(oB`c8dhQpzjRdx%b$a<>B4BSm3dtM;MX9 z+CRl2m|f(F#yi1m8W=bbTJ-W=!p>lw$u!Zjq-I;j>I4!+G!RAXCpQWdC$}ZeW8GMf z3c>%=jmOX8RMCC4gCPZ&Ya4c0)|zL|2f@@jpH}ypZWTu?QJ=d?3}QyY}K97xzb>`IqvzaXMU$mrgPI*HN4LC z!t-{!Y)|z2@P^LFCN-Vot>f#(>MK>$Ix3fg&rXNnJY?iZt!5Mu5OK>qxo0LaX>Ztq zrKPMy9`?2=2~)rrz{!Bxhf$X+z=Cd+iDrbLMUQ5K<8_jISJ6qjur=JxymaWBOy50w z^hyV#YbIAWyg{=my&`R%&9b0Ft*vX<(OAA)`zYJ%wB{5#(ZEU|8_LvQ@Ry-uHy>^M zs#RN>F10a9#^yKRDso?Fp_I_?@z5)SARFgg%}jHRFn|Kl0U5Xw+2igA4l@qj`U|DJ z4PBPQ524Sz&oW>lz>A*01{C_(mspE5H=sXe^sNJM;`F%rx{(B!#&&m_x}(8+ zUX1DZh`-48xj!zNxl6ftD95Vkc^Sepm7e*0xN6$s8#cV9aeJu9c+UQ&|I%_iG5pj0 zN(j@N)awegr+z33jqRO-^>7;_-U*{6T2-5_r?b2nfe%zH#2DRX7A?#@PxwY>hJEUT zxhLAYr_l+(_8+Ou7GjWDLStplKJ6Z4mndLJ$B@6tVpLRDh1Dy4gO6*ZCz9 z5x@~4fx$I~8|KR+G;A`c7w8qJ_qrsD5c4qi6w(784}r-jYa_DZQ{;HtKDD*zyxRWu zh}yn1kiBDgeVH}{IVvJx+B+{8ai_#x>cJvBz#=rja`ZDI$z=~X-jtm1vtK37COkGh zX84Gj`YbOrd`eB3`k#k@*ct0N={fDEKC3t3s~#AeVRQ%SQqw5aEL6MF z!EOV>miL`MDb0i0|7sp}Q@vU~u+%93POfk2jh+p#R-GI8#U(IBrebbMjw*=BY z0BQyhO1W>fbr-3hIEW;C)>H@@wZPL@*$e~124mN?*i&La;4|;u{~hb-F7!R1YI$EK z@#M5j>UyglA9~&x%WgjuDAPFF>N0sw;|z$lXm=YdBx|PdO^8t8WwzXX#jsBMzfP~!5r9$7D#RO-0DOB-&q<}`1=Zx(yeN-!GB1WFTSl&q^<) zioux>F($#l8fErOSSlQf#7B!a!$8t` z2AYjj1_zJki({y>s{2AR>XO&FQRL_S6otQuGEUl;n!giExX5KPy3`nP5>l8_NKkTWwZ8 zuS)7Jnx3}U=)OJ@=sM-Ly-e<8G}(6QFCo3!>K@bUCVp!9p=GT4=J9y@hX>Mrray@u z%!|KFYwVi%*1B)vocY^))3Rc}EBBEqi#d_^A%MbY(?VgnSA0cu2w>q?@CBKU$qKcs z%?Xa=M!`~X>yvmjP6Mn@`T_vpZN~xNa(te*_+ExU?}2#J3!qer*w#ENP-m_94u}XY zaTIp(M2gLbVwe3g@Y$>!K9Af!+s6{Z`&eq>K3$gc$gF{|iERq4l=G{wKS6||&qvI{ zV!fNIF?9f-m*X=SvC(ju*S+V-?#YU)(+wIkk_-3HFM8pLz~)~>xEcU__S9zn(SN>) z?c-K31QBA*UcqY6k@?&2;9;BfK)}N9$dFmU=k0)~ zk#p;Q>vA>R*fx3h9<^Ng8$Ly*%p=#y0GK(H?xP`A1jk58)`R`1b5c8w*Ve0FI zn{(pm2yjy=%rJIh-GB><5CwN&2`dlBxl4|gnw-V=^Y%&a*G?26I$WmnP|N8b<0C`}_L1B9`|0Y_dWM4TkWQ+jE*+ z5LTd2Zj#W3NvwMih-m=na?imuGv%6DttXh;=YGFRV|^_w4>k7;&%ySXbL&e7AR-#s zN7?LLB~M*#^a+V-(g)OKPUJeQTjD!xuT4;DZwj!SwLGvX^gaNZal2rY@aDM{;YDun z8VMl>J*!Feuk9dQsW=91;9DtMvHcu69Tw!w5V?S92%$({AdS96K~-FT9fo3qBmJ4^ zxNhx?$hHYR*HwIR(qD-7ngM~hn3Tl+UiBiDfVW&KfwSyaZ&VVmZo?b*G+o@Q>CTS? zv^xN(=TGRzA3%}Gjd#Y6=lQ2$MfQ7OtN?)PiL(3ns=%#(0!~paSQqvHLDom0Ut7*`hZY{HXftYC}^oe=n^IXx1dm5A@2@P z=qAVg)H^Fg=W=6qV(hFT7E8&y53wXVq8&i2fJm&fKV(OaNSI&li$k7y`selc_AfHg zHa&)Br`4cBe*h)Wy z`d{O)8bnO1P6uH`W40S-xfZ8QJ?t$!V=37_ky6>;JS~4u*W)qn1c$qVG!Q_-KCfoM zF}99~)$x`)>}=U`p<`ADRUpGke*^#>I4oR0aZLS_uIV)}IqM~F-s=G344*mj(+%sL zL2tKra`&>5ux8KI)JeWp`nrJV7=Eis_A?aw4#DyO?875lW)L;nS)$NU?pVk3kom$qSdeF`VR zDbW+x*XriEm)JnyiNWu9t8<;PhUgKI)*p0HvK~W^eOKulHO`0}E28i(tmpWaJOW*7 zKUMC~*i*k`^h5D(Qc?lBw0Z!^mUX=^X!{?3{t`UO(n9se`rSEp41L0}@@V2T@^bNw z3e3hR%0_#xE-4mx8s=kJO9Icii6bqHu{ z*@m9kSD?))`0Wn+N`x@Enc`wWr=|r|L08&uyHfeUDud&rzn86s%AWwWS-JKQ7kb-> zs~lJC-k3&03_{SkRJg9R9OKx^$b@;ovv8Z-vZ zaWbP(;MOQsWk`P{07F#GTMjJOr>pv(xWy~ z?BKwj#Vc-@ISYp0jT~~v7xUo5mJnKG%lD%Z9{u5`pUUr9notAWf`dbp&w#n}iLIw8 z`t}XLi{qIvY^SC$x2gcGm^Hm#q&Np%{~2cYbPJjm%(=o&vY0=+5Va$MrAK*H<;!k4PI6Tqy%=J_Ho!*p>8P z4E4BMK>XZMSVRJ1d`)Tct-@2ftjerB<}PZI1T}n>Eu*=Hl;ZIxo3#-^HWBUZGl?Gp zM`^=OtQ3&%BMIeO8OssslR;<~!|2&dtWh>B5;(QBbDPWkDS?<8+N9Y!FZl}0c*W*+ zp2o*-d7YA^$tXDZW3y>i8c>Lce2dRIjV)Mam=js}IGF%HcW_gcNmi>s{u$uPifAyL zr?Hs|6sOi|w*D9t`PaO0+Iiz0?um{43kd8dW~8Y5_O7>ueAInLz{b;x6D>N7NSO9%>Otp$zpPO`y?VBhG<}JC2}ns0 zsVJ$)4Ggbvq+R4eWh&(d5-YnrX82!{)_b2mkqT3%Q|-oG0kNH=n)cRGjc|-7VGU^e zJTd`i^5?v0I{{vsNwDZMZb!CkrUAIVf))Ou0Cxc9aqVf{Ha|;1oVpagn=sQYPV2P{ z_xW4&& zm&(lq_SA5c*Pq;tC_%G|1wiuJK9ON0LpN0@N(E!*)g>! zsf|=2U5ov3lq)!C+bP%qkIpaXE65Y~ixvI~-20t_dJStW{>j^y0{Tv30bDkCI(zCL zCqi^!h=^1)b`0{9=uZ)Z6hXR{AX$xQ@}Y^yMs`ix%5^7j8WUEF+3%y*QZ~p32>%WQSyY!ALiE>sl0*z~8-6K}Ek=tj<9jLf zmPDxf-r~&r$@=>q#-@+BL@wXp!Z|(Csv7Gm%@5yU4 z-F~7!^1ZqXj#dkwCqO4R#=L!VeA8JTLzQ9+V@qWjHLC$7-9Nb5Yfl!(X)i6tyzLc~ zUl6j^L*w3Qu1t20$81LfXE*M=K%H>r6uaFZIWFNe$|EY;eTn&N^Phy`F95a1-e=lo zI#7Q@q2Xj>d4UON3!Pfd8LxR85sST7V^0b9DhWQcuR@FmIi&#F6SGmci4%`5!?*$^;EX(jQy8l3=l%JwTD;n83Rwcm578r zac(H8_838+5eIx^prODyFJQI5Ko1@zg&qqI2+oNjc@_`hK`MK&(>=U#Tc!QQ&SbqdS50P@K+-cri<}DYfB-Sj$pexef(w4HQlAX1$vC zCr|6~iah>6!aY*Im?g3usxwvulG80yoZZ-8u3m2;%RfQmwc#ulJENOSyFe@~!Q zzWdvz(b8&fG#^?@?BCrs(<~jusIuj12TKQycH$!)%yfxILBcxZGtll-A)Q? zlr~lE!(GdcDfXUc*2XXGY;LTthHa-_{Pz9fq=XLnh-C2PkpQ*NT>(gD=*S5kJqah7 zMB$0w*Fbgmj9R21Ad=K;;igu;*b$)Y$0zZ<2_(V?xCjUdwk23R=o_T-n#0XJuGxj>Z;1B6t-8?BIXBhYqOsP<$&V|Q@5HH9_7 zsJhf-@+8%THUQ0)u|UanX0|UKVj#;T-L zvpk#t#}y&B9m6cHGVkB{&|=eJ;5E|Ad@L91s-`+m7bx#pt~IR&S~4mBC%Z_Rz#&z|pHYE^%CA`7T_VklO_7sY-KaERijHo17g7(c`BAU%GMUE zw_~dHbu#>YD!=9TS<|qq$KsT#^o_(!395d`{7TQG3UnuUZVOFzat41X;u)`>3>t&tU%7j4Vy&w=+*u z6WOkZj7c`=XPrjlwY@9Bm9rGS$xNSfo6GM=ELV>UstupUl&UNd#@99lCuf9j9UIUf z5`Z3YU8t;pKQ&}3gfbp3GcUK<@?vjlj9$W#LP?`!@0UENYO#{}(z=s%RHLZP!n2vK zMR^sAc_3N2u){(O<1)T`uvj#DALaY4wMeqH#H~cU?=M2(TLi+<+wvJJ(E2%b#B32_ z6^}A;7Kv76i-cXK;phx@lrnRW8nPH9LBJ`-0%|(QUsw#Yj9RhRzwr3bT?N`%-4q!? zw1rd*XQ+(z6@El}*YYkRb~gEb(@aK8GI&M_nsMOFcP~}-L1#em_7D;E3!ThomA)J2 zYV%>MIj4Gl?Ar7Sk(TuwMzEwOFP-14I;^#&M!$4kG-h;0g}1G>?G|Lbj@SxLQvo3e z4qN<&(4T$@rNWR6^OAvoOQ)sPo%7~S2^|}q70ejZjbxiigCfC;z!r55RWZPT4nLiA$|qMl%mLxuz+8h&H! zMqU#S=)z+KhkTvCVx=hx8OVB_PHFHH;6${!3=8z|Al%EIB_T4^cB^C~9R;Mon{mf} z1O}-uj*%o1Lc#w0yt2RZ2O9B7Yco+jo^nu~ zP2u>}%(?IP@$+~5tBfrE?E;|c>SD(iK+M`To$WkVR^oulg*kgbvXLoyE2@tzFHxj? zh7*3#mNzf&Uh0|3J6QfgnY_{*Zb{R=;McOgcRG!8w5gEq<-!8N-4#*Lfpr%}DRG-r z4b_yBU3>njKTn^;dj~%6#!DlkTylC)#o>m^CugBhGjFQC@*qWmi3Hd*+d9=As&TGT zzCPh9!dbTb1Ul3H{xk3Su79EU-Rh*pXYz!$CTTeZLWZ5|shsag-&C_v7CX>ZPS7(0 zK~XGzFntg$OClsZ2wN!(K_wxN6W}>1$O&tfY%KjViVhw zF;!8j#vH1u(y40}wDdJrqW)#1&WTSkjE%5qzWR5em~ zBFmorlTj2Ojg~AZ48o0=F>W)m1KT(tV+DDCcMTdlyH^DEoAW@NA zf{K_KD6MT3P%afNEp!jCo5l)sR3gOBLJAiZD4*teueaQSKavXgj#w^p{^1__`jivw&V;*iy|4{(RaZ|MCzCq%S zKJq7I+I!swl%kf;*$MuY{*t{t!CVK$AoVAJ2^*yQw+kx2_IZiY*{2q_>c6W#Bn~M; zg~v$#xpW-r^XJ~^H5I(W^TRXA9hsHjW*ahP=-FwhEy@9*1jzjsWRP6-`M;`r0q0r7 zhok9UX$6gxAh8Wo_7&wOMA!B9Mm$2Z%|FIBQn`iY)lD-TuGu$L?JTOU%C4$UN;I%e zEHe6*x(X)7@B*0aD9G}d@aYorN}<5A3I+z5@!*tw40(Pg@GMS*1opcE*+R$x@vG=$ zgfn8|ART~z(x**LnF9BVnV#>bnx~$o(go-1vW=JRa?k1QkISx^mKSGYYMHCfqeF5f zp1(Xvr!(2{f&CjSTLtAv)wfCJh zEX6c|LCTrb84=}$^&KA3z{Mx*pn39BNPi)tG%&XQ}i)XSBIyy^iCr_o!59b)eb28=5Xc1_6xiCrGT**?-0ba5zWG;+e}B z^F^qr8pp>oS;^(mu>NwC*Q;ArTg@FS$=(23T*QV7`bP3+%>3>@f7@b2brMuX#hFgD zi0J^RcaYX}zw!Kpj`l?a)Wn&cBFGhZcg$ynY2vIC#HkP@1DTYQ*03`wFo&Wm)2Ufa zOd=c^Cl*=GE6!KeS1>AQD}4S zVi!ax@-01zH_@uA(+tL1OCX42^gjO%2Jm0wuzia^nr-ImCXQQ9m1UWw7o>22K<7|j z>9&_8P9Vg9)G5@4y-d5TqsJD|9`wgs{b-57Rn7Eig?=_ekD>^j+1Wc=u=V7KL3RWD z#5!fC0FvQesq0_JcB6_X*folHzd$4IfWclMo%+CJhX>D5MKYc#YoD~!h{Qs-*VD!Z zE+0l(+K;uX(IkY1zy$1kmzf#NO4}60q^z4KK@oCdO23d`KrZ!43lsN1aoR@7z2Fu3 z9)gl(=%UoP>s_wpxop)HW>Ep%*S*@VyjS=;vOVaX=uZ-jNCjXNJvyumXE z{faB=h@RzV!H_eCY_#}wQH=(WC#npVAX)^f%j(2!?lX*)=>=nlV~kBT4>Tk23r2;% z_fJ&9XGzG7iG?aG6g+-*5!8R2#ecIvC*6Ujm<1Ju5?jpDzl1MWQv!so1-V$-V$o>3W#ajLE+A z)*kpY+b`X1R9AMc@mzl_BRYC6eNIYlM>@#18g8C|Js}OOy=KTJ6{u_S?aED&jGr`N z%*bP@|ImTJ=y}_VK#Zt^o&yOGyVA{eppi!_(2s3FC-%Q|HLWzgyfFVEwl(04id9Mt z)jjQ@XP)C@VBX^6c|-?zM7k?&=-Gsp=cC4H&SDn_X_nJ2s+eOfS|Caq3X8%5;&@Q|$V-LQeD>6cK zTlNEK6agE}D`1L`mPm{qD|N$f()ESR6X5rkU7c5VD}beVjqJu!X_n#s+QuC}MgI}&ekZ~G5Lj`)w* zUxq%99HE>SOe8WG63(T?zc37&+*Jk&0je%#w@}t|2H_BW;=oENEJeHQiceVb&xx;WDV@Bb;N=Nq>v5s>KruvM9q^ z)ZsvS+|o&pTlrOHi?|1dQi$#nUuw6oU-(ey5m+)r;{--1q$Ee>v{2}hA{{n5EeUk? z5h8`z@qMu*t(P3_>dSOAM7X|_x);d%G@p@`(xO@-i?J|v2MqHZ>(=Q%uHM>}e17S&pMO1lkIH{gCZF?T%Z$J`Tgu%i4&>blYLAyFEc|4Elp9a<`@%(iJzizW!f zBwd;%Tjv5Y%_d+XSYxIHCsmovk5sbHNX6+$xeOMkV?={C$Vl~-iOrcyx;n?2tyueO z?R5bWD2WFnu7B@pt@m)a9zc)Gpo{V><}L}^LJ{>2!6F*j!82Fvs`~2-pLTx$$Xb|tto|80c20R&#yaacFB5(rAAg@#B*??--*fcw89zELZ zs@5dNGk-_Ib}wAv*rvrx0xx0>$&`FCVDUx_Vl$_5^=g>Ge6pyjFGiUw6QR1H^#7Y(bptmv|eIl|RNS6580T2*zh?31FumV8-eg@SxwC)(Uz z9`_4$CNYzsKb=Qbfevs0V6_$9X!BQoD`)#)n3a z!6u9bV;r97D90F4GPXuB4UM55SU053pt8+~WU7`F5%Gr2?$hG!_)+s)60WQB1c_ej z^g#HXt|PS-Pf8&I7o-YgnTx$AaxPR`V}DVvdTHMnj@g@(ffp{h>CUhYm$kir?7H87 z|D_u?&U%0SFF$)^<4r4{{`n2dpPmw(kRCX5YF*nsu>R1)5Z?Rns&88Uv48njitMWgd*9HXsMRXaDNaO zaZa!mSxrk$&7f?L1Un=cq;pKrp#aWuTH9m@Bw|=t6v|0;J1I(zlc>E(GY{BgNF*}B z&_Fc;{-mEzY^PAEvD9TA$_~o51&;;M!r=N~Td*g{29ce1VJIg44aZ2{h9st(!+@o0 z_nuLE(g{&6b=69de047v<)o_*0)Ir=5>b_NY+%IrK5P6mcAg?qGJP~ex|`;9pz0v8 zdMS76ye_15UX4Lb#C!>e|6w)2Obs(Nu9g@K2CNc`4kI71*0kT;vFsOP+Lz89|NVNb z5`OhS^M;>vU5OrFbK}JOZt8jw^W>d)pz0~|PyqX!E2Kf>4#r7qrS(#q)PEs;C>@go zASI-Q(kf}4x9hOfBgqK~>sSJd7>Q?YhJfdIR_28?2iSG&dbW-2U=Oo=2YZY~fK9Ro z@F$jab!9Y(?d>;~_8TjcZ)K@uWAl=Y&99ZPgo$L*hwNDK+r77lW_=+&)i>Wu^P<)a z4cNfFa%X#cJNx3^w%gf8(tGyfnsS2V+k@vaWRPv)mejG_G(cY@xn$Pmhh!QTFmi-r3P)B*2p&UQ-nt8CUyn)h<~(~{}1*ZzLWn{ z_&fi#81Tt5$1p77c|npy{6P}Mw7}bfz%wkH=46}WWEl?(OO_>AjyS^=1<349XgCtb zQZGWWNIn%MsTORyaGkYyqF2%YrLj!}U;`M3DFhFLGl0@K1vvs23`$1~_$Z}QwHZ(q z97I&@PemhU_mNaYFn^IGLPzlwe}b+NW=^koV>K+4BdZl8LK<(wG`oOciuGbWLu=1x zs*RCgLb{DXQdlE!EUaU@(bSGCRTbnUv7n%yC(giv98b_9NxIowA2Zh^Z$=zjbbZr3+2!M5&YT{BVQhVE&EE^ozE9p%N3 zwsVvbDXh0{unX_a*1FsN1Kh2fL8-$@W3g&*32q(tA;*rx)iI7qa0|IrTo1=$sU;)E z6=dW}RGAE%{SK_98+jhXLYnRlH_6fK)(JK0X@PoX18 z?rrWw5{1NMi4=|{C59;}jPn69bqbI#iAGHMKpL)1uYXUYbSM*nf1&afd>8Su&O0372mz8qrsO$onC>I{UaL`na_4hc4>TZ^c$FRuZv@rA%yv zTx63sA*r^%)K;w+^l5?ogygB{BtrpR$Pdw&Q93PAeX;KZ_4vSzEz2HC-2B?VJ-fA} z>HLL1YM(Om;?+ag(g(+0dDWC%o3?kAp`XsXa(~E!8@e7sTb3^$|L708-plJ2A7gq6 z!kZjF$MAmiw7Jv#i1~~E7<0nUvqa?9V}e;>!iUWR;ltscFq;%@-46P&ZUOnACTqH? zr@~a92vglcp<0DPwF;$It57HtD8=*$(!*4%P^eacKY#5Gs3Ln_rxOkphYD3(6o~(n zv43I0bWx&VgpY;M!tnZVTeu_4h8a{72vXiW(Qa8D$o-!x7V@_g3#*S}!Frt7;rPC- zsEiHKu*}{U=ECDt**Ie_ez}RX>IrzQSKA2kmL!X^ATzvKimmtLy_R6^<7eB?8K7X`n*uqN&-qf`i-ErOg3m$l*i$?BTgl*(9 zJc=5Kz`5H4VGo4&31u=8EAA{NtqA>xPmm+(2!5nEnQs)Y;pd2A)*Rv+608jmGspPG z1c!y2xF+dRv)R`iyfi$Yn=j2Y=lkXdXNIqb0g30dY0PEZW%4w29y5!ZCC^jkP=A~i zEG#nYRE+BQF-itPZ@MIbIxRehSKh@)8y?Qp4IuZhu(Z+P_*3a@e*pr)6q48=9`GTS z5oG@;Vlv~lo&u^)%sUz(2dGaC#Oe4_Q`zHMDt`dYbpRZENg@sq7!W0Rsv|K|1A@DEzkJ%=sABj^K#@G9p% z)jY>M&m3d24av47N+c`Pl7fMOfdxYg7ADsw#UY^~vC*N?u|{#4+7xPvwSS0n)j8(; z(A-!@@=g0t_)zrC!cM!h@Nlvx87yHlW+qU}4l#$bqs^)25#{rOZd0*zCKx9!Vm=tx z6`)5_2V`i8Er0H*C5tzA&*5Iad%}c!dmetW`_w%b z59vC^Y}mKw^|xPt?GJ}XsObl#4$c;G^M4Iq9*1Db|oOgB~;Y#}wc3+d&~C12NbB;bkS_9xDt(=hFd^M?*S z_X@j^Eq%Oo4k=#PngZP7y2_H=SH^JE*>I{mM%(7E}m7qQo2vN zEw$1AT-C1`O$tTBq5fm4-U)FrGzlSdAe6&RVw2P)Hz`eOlhz`(NG)=U(xSF#?WOHy z1_2wUDh8#d%8km*(wXH;N|vNnrG6;?M17$Ap{fV_Z-0=VQJ*Z^P`JVyye3+l zZwNTVd*NaY&^1ajaj%uN-`(yGixlcfPx=~oaXE~?^474@P=8Y1H& zS4K8P_D6Ull87vbd>CO9k^3Vk@-iNq0HzD-({*fexJkmDOn3krdeDRUQPXyVYepS z5~2AZBS~^*BuUPSP{T1o{koBzXxbJbRf$jC7SA21gq7r5$QhM+yme)sPjTZjnunz_ zO24Y8tTH=&V8=kzFmTmCG?4hGQy}c>yOiXTZhtRh(M}rV2PA2>81f^MDT4}NgZ?}t z=}Cx_PLj$E8WHEY(~3XLo3)Vvo)a1~c^24W zs()A^$6$GxB=Z?I1`=ig(X&XB&w96_K$FVM>eZ`3A8|zd9L>E6sLD#q`k~tFpt|qC zXK^8MBGl8@u*JCR#+A!!(?8t%$hZpzSN>q)O)pQi+SJ8!R<;C#)v?=lKQwvH-kbKn z2hWSoT{P>W^Gd?$fumNB9kHT3kr{d8HGkntn=Y*@i5K|gRLun|o2IV2{CUEssh+P; zCHDvjfp=d3G6_yC&C?9KYC_ɸ)WZn6J9v`*g@%A|f7etB&Shqli>w zMlY_vYF^cCw{6|F&7UbRe0-gG{;Vg^)%QYSUibI!?fT&*RZ(i+V_9~XJSzZ>djUkr z+6uPZQPLkI!4Jor8lRob_+d))2Y*%Q4=PxgTezD*O)wn}5pyC+ZIuwUQbIoJe(nWT zgsAuq_1Y&P+jB&FUe6FUI77rf(MW&vgkVPqhQ>yzKY*Af(PL4xFuFe47VU|$Q8g{~ zP8=jdYEn8N9hO)rKXFLC6Nl$@m8q|lf`_PomD(l}b$Uu;BWJh-h;#G1Mt?|s7hRic zsLy#Rt1uHqSyR^xji5P6z&tiYSTzPT(TV|LhE!Irc41=P>RnkWg$ai!LkuEqreWpV zS3Eh+RN58mx(O5RJGcEO?IY)pt6hv9=-T@I0V5_%y#G#=J9Q9`PLz17@#x6#GjCly z#EC!_dC2!dg;O+=u{zTiF@H<}!#ip@2#T$oOys*}(L5vxpcj}2ChMOFn0-eig!yt1rJL%n$S;E(!e;qZ@P8ZmAb4B;0(>N& z0>|Yld^>U&1m$v2D%Z*5fFnzs;|pdvOe)!YCRT!z=7~Z(LL{%o#{k4^Ti9@*RiEtw_UT~vSsK_*Jn(ycsnq4_}GGc z%(#zerd0a4U?<+QG2WudMOhjZKw4H(b^p=PuDi}}JhhUR<$uu6vc*84Vr>ZW2JQ__ zrAbp%3nEr#E!p!K8F1ct^NI9HU%ljIc)grWu#|<_a^#YkVvC8-yj|3;Zr)yYFxO zDXCns%0anSrk5LKzG{0gsPkPf-XT8BJfuDapGHqB8`W)KJHJc+9s3Udp7aU(iSZZT zasH$fS7=<8N^6sM;b?S9r?t-`+nB5ytPfbCAf^Q)t$!0UP8S#rs%dR!&pS>Xky09_ zzDjDVY0&obvSO9W8S66kQn|^RXRWmEwq#3YF)fnea0lkwF!Son@oG1?#q1=-o&E7& z%&{2?KNmPjmPKr%$fjjs`95YV2Ygs(8Rg8D4L$jaC5TDE^7%5HU~`lTZIf=qH0lY`T#pP0eS_0%?a!hd2mRf!^(9B*z8W4#9d z5hiO6|8qRL$8{F1{{=X@pqusNP1@u=rY$jXN`JeSRFmkXp2JwDJq+}o1MQ%{k@R62 z?ae^fIHoN-k!EQ)uvs8E#qf@ziDTMoC?H4dIlNg&x_fLT z^e0!`0tTa9?l<*by!X5iJFn{#*DiDY{Gst z+JBx16e>^rAqt9T${0AN`^8<)G_W<#ys)nJyzQI1+h2U9;tyEBJl1KwhOX;+`1O5g z_Njwt<+gA3lZV~mdFVf}q%q+iy?H2LK!sRj1 z6~h@H$xStW%>Gz>M1R!i;5zsY;dMhYoPS_0%J`*#7By?(5M?#IPZ6tqm$QvRqcTN* z2tF)7tn5HL)!!+v>2H_^nYX3iYww#!WS{ReZrx`YVa?3r)=A5txOG`Z{C9Edvw5Cz zk?XufvFnCm66m^NXl5^N-IRF)8M3(-?3Iw2?nSQe)u5K{3ts0<3SO7T`Jiu1Id9Gs6ytAJ4C%Nxd#~^dxgLDjtXXa+I}lkC)S$P8N8sFprqWkA4TI-Y?Va zQERi8qNTjPyD@#^&+QMBvff*ggAD+M{VIFw`Xn|$6ZrAR%?)Ba4zsr7Dep-3S ze9_uveH4&83RVlXTwlYi0Pa*}F)b)3qKS9@qw#L%tP2JRcw&>l;!#DWobUKh#khKY#e z`3RfGJ0m0${?TaIJW5+2ObmxSMTvcvGzm$0@eX6!bS!PcSlYY@)PJ5&fYS2`>?>>R zo)5Oy<>cbJoQ}C{TOen70lSSvUj|r*!Q|sFbI}}iGz7;}VMvnolHyzJbF1n{hOAOf z>7M`VLz&`4=A-uRc^9PmubiCizUCRTJQbU36tLx8k1Soiav7R?>UW!lHclj3PC1r6 zZ(+L9;U-7(?L@DN$bSb1x&a6O?nt-+&o87a-M@B5<35wStM zfZp157in~6D00f|B2IvM^9VK(k5CYyr)rw|9xsJYYco~H@MvzUfTbdF%DBBJlD@>B ze!YYABI!zcAb)Iaq>%|^F8g4MK{~J zg?tGK6@|i}nxa5C+OT*^_c&%|*RK|=Xn~(Uz=-?<*LPiUqx2Z@_)cX03r*$T1PZa& zou+k>O%e2^@TDJpD17Kg`-S~}v|HHiN1KFAezZPe30@lLgWfpCj(zrYC4KiICc>|M4^6xL zbPM;?2W^!w9#SVupb=|4){@r(BVgILYp5wAw1zn4+szV z(f5S!`O!jQp&!i>XW3|?IMM4VLsb}HKj$ZXqkn3+%XHjXP<&1xJNiT1fe6$?s2lYv z?yoW}biS%;q`S1G2r=~lcUc)AF@!B)?~z3HWbvS$c2%cI+w`$G#aN z<6pFEZcz8J(`oGTPIAY6a!LUe98a>YU4QC1IWVbyOjNXbHwD?=b_zd&J-c8UJ`Ks! zf5I;VguVn2eU5qZ*k%q^o1OGwK@c-Ce7f5Q{|eK;0PZ!cs zj-37;(7g)|KYfn)FPtNSzwZ+JoMZkA=a}HjU8Z{uc?G;O7wqmWe}=_yDJ`4fTYvB? zRLO|UGWH&BIbSYt!ZC5Uv{rsreph~1iQv*iiaJ2;(jL{%*VpT(NHONqvc($Vi~F|w zb9PN&PVkqZKbW1+bv^Inx*pdyuzRirDZt?UDZHPwbF?1P(~j$U+HS;0rhmXxS~t;p zJKkRbjGh8qTeK!uuEy7C$2GZ5HN8$Xz7DDDY28HsyB!}{gO7Y4*GBh0aBa~#g^#JF zzpWOZOX?xCCcm?e9$!blu@0E{3jd3@uK|y$y4GIjoY^N6<|JUG{K?NqF$N4V1Vl*r zDAJT74H#pbX2yIm|Cxl4pMS}jpUi}0Ce5Xk2BnlzODUz?G{sy>X)dKHO%W+lpwuc+ zibAO%m7hxy5vd|2_uc!P38U41-_!3tU-G=qCHRWd?D{d`7k{Uoe$G4x`!SY zc0MjFd>k#=Wvn#H@(q*6(-&E) z$rGfH)zS_%QXD;HqeUOYk_+epPTQ=W(*&@um+AZurpPh7S#H_|V{% z7#YKd1~+_YaKncNH+*Pt!-obpe8~Bb82fF)wj{x|nD#`@<0c9|jN2BMA^4r(NpbT8 zA08wB88KgjkAH}1A1(Uk3VxRu%g{M4MrJ|`pCsgq1fL>!t>9AyZ|2+@W4|?KEG?#8 zi_Ya)vQq{;GL2?{<&m4pQ7@)Css(gvqI%Kt1zdT+d0CA1Dj|`EI}cF}e(jWtwo3HZ zsZm^&qb`TE4`mr79|EsJUoKlEWQqY@=qrQFGSnVgihs5`s`!^|`M6}}N5V7;lIaFdLdtCT4%=`X45QK z=0r~~u79~-n^1d2Jh;c*rvEF1t-5HdLs=%;>&19YB0gNN9W5SV6PGMC`;?p4#X?iP z7=0O}ba9XODiJz#Gm16lJZlH7FnUDo@Wja03(uFq;!-h&;SayCn|tJw=U`lO*;0(= z6&@)Q8TxTg@E$dSr9gf%YMy;1rrn>cyY~NK?tiznmkq|=Bi2VWmeCykq!~m<|3|w% z7ZW2s6JsvA7%#dyxPD`nb(OG_s=q+_#;s-7tyIROJi0B_u{Hvly+gQZ!nt; zJzTN|68|iR(w?gznkdR1L*n*E`g8hdV4rCV>b z=YN(rmV12VWoZw2s)}puRgLyyyY4A2D_>UZS!%DV_;fAN#!RDUZh57*rr49|DEBnt zw*7&$X*2C9i>pdKb&Yiu`sCZ~8R??O;=Dn%dA!K-6#J`cEA5X|R8*Cg+o#%d>q@F> z?Mtdk-E}p^jj8sWV%<|!T2*X+tk_#y27h(-X|rc#IP1LjWyMW)Z)3S#cf3&M!l-3+{@R+l;{hnL=qeVwR`mL`(0^V2k+I4@qD|l7Av^kT-yT zPyQbGMtLLn_vKCCKaj70zazg7{(%Aulq3c3M9Q7Y0`M;>Uk1-sTEJVCR+5#lat-`F zsba#Y&x4k z3VVP(2tJE_4t$Q9MUwhO6@TNZ+3I5OCF*0~dHkO_RUPVA!1L97aHskNc!8>edsQ#E zPxXTb)PEycU7>yre5Lwz@Ktf0B*pzG?nk7=b;bQ0e3$hhlCATtc&oB5w_pKQaYJ=+Z1kAK0p+I|YY!}dJ* zKHGlq7i_-+KVthm$+j157r-yt{)+a?ws*m=*{*~C&Gt9&_igaJ?E~8l@DC9@MU%AO z;4N;y_FM3S+Ews(wQD46?1WI_7ceby$FL3o4vA%e2a+J=^|TE7b3Ze0QXHS5>GS6ROS zz6QSHUb<@fM20u+15dT#v$8GSmM-S|U*MN)m&9CNKzTsJ`>FO@%!|)r1j>;KBQcjz z38TPA^Ld%`8A9u&K4Qh5;u5l#HhF4jZl$MuDJ^lAmw2eKrhizk#p)kI(&M>Vc6{0= ztOXg~u$c^>b%bw7tS0_>Cn4rq!e@rW!D|UC51O*`7TRfqDIxR!#kI*5+#N=DEG_rc zl3SFuqSQqhPUpmns7I%ym2q(0h@&vmcRNjgiH=o;OWERrUT zky50YQkIl0DHxvM*o@?qh3~R)U{bc%33$RE~9Q?U16PGx2kS)-7e9ZP`|N$ zNB#c#a*upazKErhsMvu; zO1na-n=KbQxoTA{OpgPobhwN@%+pbclf#ALeb-dIP1$7Ubu`MA%8k6 ze#XaV#?SZ04}@aI$}%m@66bpSRF9wO@e@5+oapiMJVlJmN9AXEvN*HjCwB5+yF@=1 zXTOS=?Fn&u$Ku0an3@Vvk>PjdCb68`CiH= zhSn2kJ%Lsp`8|mI9#YWy!r+xf%6}MmQyx3;nmlRXklZowCJm9&2HuqJ2c`kjad#Ln z60idkfn?x5NXAR41FuR`p^Xi^itKVjs~cL~@(7FvpF$@xjgLjcA-fu~tD$`XG%tYW z1+csu*0^D#8#cP3XSJL#ut`n=zjI)xJPP#~)OVpC2iOM|U}h!qtIe6imJQ|n)*nwnV zGH~C(xqr|*IQn25Uj0ZMR5Pb;AfIl;dVyrbWD{bsiS9O6iik6EZUS;{0>(H2D^I}6 z2~s*R4VW(SpzmYeJOOW>fPdu^Wb~JZ4=jNvmcXmk!mHy@kH@}X$Gy)E^g4}Rr_qZ?@ie4QL;5tN zUq;0KfF7sO<20i52lP5Ee0K=F4x!f}^g4uIhcMP5^gM)~htTs7dK|(o5MB3t&D%b; zU$jrM(GQ~>!h6fm0e=-4snW9pe(DB#2Kupc?HVW&r5|r?yWsJ;lqEkja6$eeO_di8 z^ve%}e;GC3#TE~A$xE=4JqrF6w7F=cT!VTUWNN|vG?fzNOtf$f3q{Wh=-G*$FQexL z`4QZE6xY}bq2Yq;1j>PBu;nx8*(cA1#5|#)PyV9N(g!Vl(0}5GmOe55Be28JvKkut zF-WbV`k^C5?AET_67r?%SsJ{%YT%!xT04RjalRyc0 zIZy#q0&cWbqh5yEi`oYSfF|fy<;C#D5;4==axwhr#`QAzMi%2Og;hgL5A_=!!u`eY zcOJlf`XutnEq}anfvlz%qQ2~h7cK~2J_-*1;YkzgZDZ`+_%zLBo)9CCq|ZW2eX~!yLo znlSwQ1b@Ku?|(za@QhzB!u?`lRi|7EUWU3H?G>mi0iKgpsH=gc;B~0$0T0j!1OT3& zR@19}V&rUSTs#=z-O$)aal)?X$}{ZRZDwRYvUs6b`#hgr(ah#M2wyq))P@};CXf0| zFYiX|`pqoh@tbbuRgt+5bRzpiT#)@st;GiHsf zHg)r?>NKNw0iUdj#U5eoR}GlSP(=G>A@d|!ihyE~-&|g-Q$An0R^)r1*im%AFD&S% zVd#w&2Jrpowg$eVy)5kH9$Pv%rlF|;+I4ep8WP=`psC-qqudSu2;A3~;r6C2jNgqld(s&s!*EB(9GNZfYIC%(7lr=ellI5ZDP)dq2LB1QAJAX-@MCo#}oJ`Z?$?{~%knfZ4qv>**oJKR`88U2_ zGv$ZqK{-oaK%bWv$_r_}yhzTWFUXJKS-ePg$oZ5lyO95n$YpW`eMNR7DlWNNuBRvD zM!6AhpFTN2rSb}S1y#r^<&{(^cgP*&CP^(*yZK+j=@iW%s^17~A<1(R^?z1iJNOyY zJAvK6UK0m^BPNa#HMmh92TlQJ(dRtsi@+7&I&c#*GGGM~f#JXyzz!q>spxYVbp|j? z+><=l#C^$g1NB@W3s?l?01lG)mz)|3fD$5a7qAWJA<5f|dJnK)Dz4w+$@EwnTk7|E zaw`fwW%U<5^#V6Nz6PsjGk^HDhJr>-)Fq8t!zE8Mu(E!uXI}kwV5etZWx8ix!;6i1 z^{Qt<{cg{KL7C&8e6-C&+dM;m<(h_0Phms1r?CEjCs*7*g8CHd+v7IJ@WT2lsDTC< z{SqO29k}V)jO)z}c2AeEi`U0J+c3r+T)#9}U-ujnxam15aM5!HIDg-e>^a$x3e57H z0nVfUnTDK3RUpfABg&(5uPAIB&(RQUoFcHIaVGqh+tA_Jz~|7g19onKeY+Z|p|5cc z_eInP4VM~SKoOt2>0`)4KZo6OBkI2>;IC}%!>Hecu2*jBt3QhQpN;X`UQfP=+X2{c zymI|u`^WFc_z^y-=YK$a3uAoU790Cdk?}PQ$Gj6gPXX(|*YS9OZxHQKj4|TF;}i=K zGpi9d*wkazy=MG+Ve9^n$FCs+apLisix|x{W5uzfv0cCppXZ?FKzrk=MwP>qStAhJ z&f~iXNJYMEG4mQRhHfLj9Y&4zlW0$h=Dn!hqP~IaQK+9noqrTU9ngpnH@v0T5+ zkwcS^Kj{J;@aJ()b;DZt?ioN_SFUf^gq*q^tCeEC6z~`{&W}RmL=-$XUN`w0z+17o z7hQ)~cl_R^#tK~fP}cyShO3^-4evJw8g7}j5r-c5|FD?X?R9b)xW@Ay{#*#ZE#dbC zHhN}=HOccpHh*J$5HZ<@bzs)@dmCFs{)?Ox>mZu5`52Ga6S<8>JL>4(5Sz1R4)T0N zjOuyLiJUUmzWzSf0bIcvzv4Ns-@_l>3zXC{CHGOojIQmHR1PnWjvmAgZz9#mdXUZ7dZA?0P7t@JCe(tPEQ z%4_sR<%DvA7Ak*IPSeB6o64KCMEQ&I7kWfFr<|i4<%058dQ^E^d6yh4g{9IHY!1t! zBDR1npenYIEuw0c&9Z43Tf&x5Ez4m!RL62zF4eO`S1R>sPxiB&K+1z9z#q2;WW)l-;xm`-11J{F)AEXbPa>#T*f zPzMXMFs){7tc{*x?W~=iW-HhVTEkYdmGn)vimjrxtb=vXx7btcDO$(Yur>5;wwA4> z^=utmN8e%V*?M}0;g`P4Hn0t}fo)_P>3@4{6Wc@^*=DwxzR$L>EwqVsvQGK|>tbEB znQdiT>4&VFb<-BMjcucUXWQ9!>SR0E4*C)6VLjBvcCwxHW44R^ing*}v*&0#d!GG< ze#Ty4zonh*5c?hdg8iQTfp)W(*~|26*3bHB4||opO3$%BvOm&Z_8NPQo@Xc634hwh z{>1)7zhQr7f2RHHG&@Z%us7M8bb$SZ{e^zZ&arcJkX>LG=n(ra_Fr_E{gwTde#hQs zZ_^R>4ts}w&)#M4(u?dp_8$F#{f+&NUSc1x59np~A^VVyvcIzd>Q^OIp<^mj_uBcPgDfEt-s;1IaHBC*Uchz(?ovx`F>P&i1ou$sA8)~NdIr>nY ztA2t0t`?{TlA;!>g_1=rQi~*}mZ&9?inqo}DNe0Yt0bGcRIQOT)uT2_iGQ|uTf8*P z_A^_rbccr5Uum>v(Jay!P1RKCF3qZ0rLo!&ZHP2Z!;dsxOVkpj3ED7im}J+Iv?S?n zZMZgEny8J?Mo6F4Mros@N!l1~jC7ASRvRlNYvVP$bgwp1n zZHjcima3&n=~|kWCQZ}QwSPHMhBjB5Cw*R&yrhizdx7beYCuUq)NO;m*btdycT>5Y8?myVG}EW4ijsMDt}T?KLcz6I?)I3 z-sRoE4qzA12kZk50xtru0IvgY0B-@8&}Td9tHAr>o>aM0+?Oix-d=tSV_1M8z%XD0 z+VLJ=F&3CeR9yko03MR61E^bocDjpZ(E`fBCnNstodC5_2d$^g_^i5<_Rv8(N+;

vVAoOnw7OdAb$GOKf zo-EE&u0@c@frP_(96Bs07ojx=tq$i!R{^9~IZrv)I5B_s4(A)r74BXCW6wk;zHdb0 zn?}ZWdg8_RX@5qFZ^Mix2~laoCu0rqN+l{m9+e=EN{~k-$fFYEQ3>*>UbHfi|K_e5S0#=gCTZo~*>@$jY;n>P&H_0W*Ni%6~-X0%x{!gmbKOqVuq`%sJ0l z?aX!NJL{c3XQ8thWt(#)exGu#b8c{MMoX7-8-9D7z2JMC`zse!=2SX3K8CoA6>%A-x>OfUKwP$w-L@Uk zxySaQ?SJoduWbM^n)3f~B#GvcE@GWV)_)!+>=)L2JwKQq&R>z=k-s+onF{{x6s!EM z{4U6K`8r7~lVy^u=Bl}*AorYPQJ+8tGTTpVKOxn2%XW+6{-r)r(&an(_x&|#4`I)7 z!&Y}9FdP^|au~Vhwgbrka@L(;Ue5yN0$JwuB7YM(ri=q97=+~3Nsd@Z#=a;=hKQQn zCE&xr55!#za(IfqF-YNbeLG~v>pT4w;hFkwlymgGqUAu~Qh2_8B&dcL>PLebT8^U} zlyGO%yE5iJD){Nxjnd3aFpI0 z(ZXl95$(G%uYV}Lj^N&~%UcjUfcaJgkA%0NJlcBLaXolEJlv;PC@21f3){~Cwp)ui$-i(kPK3vtb zCw#?m9R9!V?F=P{Z#s^KQsKRB&b>Q0cN}fn6Or|sp$z0FkKJ+auF$NA)!P@G8A zigV=Zas7aIE%+k%b3f#Fhes`4&$;(fs08+04Y{$VwufpF)0-g~wmCw2WQ=#X;O_^I zMC|bVl1Q@SW+)gw>%G;~8%gz9Lg7e;ZwR8$1->FO%QuYc^oY7%Ayj@$$HWpnP!6+9m^I_WEfo-AJ(^oY1bvUFFdFS5v29qK@Py|C67+7}-0 zYYz3n+U=o(;B8G~!>fENLoa`}9`?=(z0!Kvw=#G{@Ye;$>hL|~U0b{ddqR68$G0x@ zM#SOU5PB<8;M)xSCHi*9&WPLBg`H$P-@zh@Lb8^R64CXep-Yi0tn2gGwT=eUBUxT6 zEIH$wA!3nb@~h!dzHQ#bNQtkBTE`SMxAr&hh3E$eg0)ZJ5#VPZ+xB?v&0Vd%{*@k+YZBfEp0FHoxklEc2}+Kq&Exv4Ek;i@AcnoI@5L@?K9gh`+BkSUqkyAq+U$$0ekL>f$Z0?C1^p-Sli@XSL z^hRERo|%!?v2*rD-oTE5olxJ)?ew>_*xScozSM3HbhIS5CkNKHq_(F9o@vQw&j@U4 znbkfk(AhG#eQuyz542?lcC=)*X9ae(ENWjA=xfPo&v6`Rz8szu*w^A{cLWXwFSZw8 zU2no00-k@@m`i?30r(ca-UEqZcA8?Z_bywx&1x`#Nnx2y=?V}gt4qyHkFR$G63D_au7tD-!(w{5?#m*<|Zu;m%P z8*r{~2cHBzn_900F12*FhrM>r^{p+P;hDbdmhNbNqVFKrJuPe7SNJTx8|@wX7XRLe zJ8*UR`1ZAd_84|#||?*a0_ofJN^Gn-q%1ym0k&c?@`nbf0hM78j%zw zO%s2b(1e8wAVCiGV&GL3Aud!E#LzY&h7d!D;ePk^kR z#?ZFIvK)^gwC%dY7}_>0#}E&37{b7EGhGDZ|QH`S)JE%6jpyUtf@}ZE6Xj%Tl&48#(OROuPlQnZ+6GK^_?-` zPw>XR_Lj2Fc+jxWnb^Inr3hAfd-Ex^n;A6xe8|% z?5qS!rl9va=~M>VQe=A?T26GP!${$D>TPa0?K%p*1OJr+EhU{+Z-;BCqho)c(9-KV zz0cKD4ZCDw%el@xueT|pv(S5>WvH{rd$>j0nZEBx%SdMlcyF|`%sT+M(tE72vU4Y7 ziKCsn_AWw(^mgBC8SC(R2WgL3c3o>*>|w1DaC%>cEHMe|I;yGNd#W|IZL&uTJ6(HE z7@oj;Okc)3g2Co0xH^dE`JR6mqB(z34K@_ECLzBy)yFR) zehJZKNPFv=54TOcV!`_b@3tm0$j`NAfJ|lc1K6_`aV)S;0o}8cXvlxSsG)JFb>2JK znho@>)_m8&p54UXh5Vj9M7NU6wpO9zKu=ez*m zqZesE9fe({qvv4b6zsXTiOwVXGSU4+*EL0TUV}Y-7INcBHQjs@e3;bg!bol&1e=ex z?&#F^94ESn=o2761v!7Vx~aD3wCiB!R8L}SEzswX-!s%&-=gg~{T_ORUeFtf;1#Hgkxd&02?u{n83~9{C3f*%b&nIw(v~-5; zn}&U@1I_@Aonbu-%@cSh2xpi(-Md^vt*`Yg!8*Fr^BB$pw^4t-sT=0VpQdv{Q+M}q zh?C2B=4tAt9qn>2-^w?2_v(@Exkhw^YpF#Gr<#n;d%aPu?Vb0#ceQqREv$L0d!sF_>js<( zq&r-?x0UZ|Rd=lNy(- zcRlKT*yiba1g%IK?6-G?`c21v`!s*@-89}ir{leIUkF;#XCzC~ zS1sv_mPR3ENW4?-i^F^5zBp-Ic;^n9>HZq?61IgnAHyA_&w@KhUovv~(r^v-MYq*8 z)%In|+K!W*4SPfSa@)LnqrDx{(+TN`LEC|r(Y|esWpK_JZacg;uFoMqi=eyywt>Bt zzU^(t_9lP#dE{pf#Z&hdZq&_@PKWS?M+3G8z$mQ}NTER+2e zlrq-OzRAkjAp0BUW~ZQ3u(Rwut7Jc6BkW~1#@=SHuo?Cn_BHl@*gR`tOY8~j@B941aAn%WpLN$S}dbXn4yo&40@9E5j21X~VyS zMDbt3zcleZp%J0$_})-c=mvg&=&wWP_(OkT4PmeGN5lRpoag@`d}H`V{;lv$;hXsz z;VI!M{4c{l8varKHsioAb@Ze(9)GW8I|^_d-~_;F7Hd0hJ7GI*J7*iRjo3zk8na!q zP1$bQ?%3|x?%NiiUa~zFctI~j2vI_;U>1__w|_LZOmEZM7_S%gBK=0v#HaG9jPZZj zd^Y3wTt1ia{8oM|3*rlT8`IGDxwO2KcQPGc&X+Si@8&C+fq$8QnT7M!{O4H&eV@z7 ze}(@FThBM~O`x-dZ)cJ8eXb4Q??e`({e|`y_`ILpVs~g{Cj3RDt%g-T-nP72NCwM-utde=E05)JQ0Nzq zev%iC12Ex)a2l?i18PVZ5k`gk!kBOkK1~U?gge4L{C%D7Z^4_Ld_k!*PR<3(d_abxYKB`M& zZe6-AomJ?pIxDNx$Kx!6-xvae~G6P)HWigiIkvUAeBDv36N1h_5v{UG8ca%>z^06%f>QZRbtAKPmY3vVc1w`mil*fOAeV0P*0voXo)VG#RYTMNCw6=kOW8L9SBEQtSQ+;Ds>_S`c zI{HWPtGEVKL!WBEb_(EF6i{qKpJ6-HSr2_GzES#C&mroN$5;^J&hYbNsa)fAMP8}# zTBAGL=UYWL*6p$lNO!yQsow7ve12DIrEmO<^QqK|jp#2N6OMo3kXw9Ddu41&eZ*Z_ z_Nv=e_L_T#pPlNl1llSF_(7>-Rkq=H6#oUrLbZxk$E;o+gW^BrDLPKNtNr>=>WHU| zUm0&i!1$HV7(YlW?VvB0R^kQLKAAJ_+OqTR`m#sv^JOdUi%<2-T6Oyey#6e?Kc=5* z*}saPV*}>v{px@A)z7XlY1~h%eSQh>waX;==~=aJ-o-U)^*GeAkM%`&qc3kL`zPU-!kUQpJ^$;6qmo=nv$>`Y#{!Y^S)dTccfc|`YW#GOVSl6raow`=$M9J5wlE1&& z_|mL`CA7XK#O5uj_P0ir+d6$Wclza-FM4_d65eHOz@a z71lC+MP6A%MWJh?qKN3!ijuOVin6l&ib~gL#ZLMR*UN;8U349;xvo{T5+7u!mUR$q zuGmd7V-yJBI(YHR;d$d?h%DJ)!3)FVl0BSG1$rH@SZS zdV=yQJwZ7^Pf(`l3CcV41m#`B-jFE1ke-X&qUR$2o1TmOlAepaL(fHii_b-ZV)401 zP%=Ij3Hk^=7YRzo=ORHLgFD)j?9A%Z11ryptoc^KDq2geF6$0!st!BWNpj@$zTd!MhSR*pM)@kdEb=Ep> zje_#f`pCNSag8;WirJb3y#j|1>NFNgii*MvR5VGb#&qMK)c(!=jnpiw7UJ!?iXY%f&1|O_g83D+PA)q-# zyiD4!iW6C$yfM)Tqeg##-ei~*Z=znM7t*Kz*nlA3hI$^f0*C`*GZyrOcvqa;Ix6OF z0UO0kkb595f`!X=rf3sG>{{&KuWtZ*nB62sgZE*yU?orrActCl6xsF1z>NL4pZ$Jd zKb|#_HE2I!KW#r}AM%Y?1#9t0nuyUO>?+x z-(zn@Pujcey%0N@5Vm3HBhV`6C1Dv`X=wbH8HCIipO!rabBUR6gVzI?4&_`3E&EhpJfeT z*?Mm4Imfu;y5ojp+A(8Ibj*T(3LNu}hmJ>E$F`0+Rz!cVcsFbC6ZH;0-{I73Np^-f zjgDGpv@?!+Xs{+aEwDN-JCh;Sp$});C;0pV`yN_P(6cksnQQL{-&KC1KJS{dz`4!o z5SN|Xi&vZ;oF!+Kn296FTYwoHvtP4M*>8y+7!|BQ`yGIL_FHxsnRslA*XOTe_67Tr z{V|R{|8RfaW5w^q^A29B#Y~6Z5#fk(#NsMacv}WR_p-x`;7CgEa-=#kiXU0EKA^R2 z?{#EDpM|S=!8b1&$ZZI;?j896f@3-ZK0&)nTBS^h5e&0BR2*9ZPc?{s1%%1|dCG$sR-e^EOg}x)#3V%w5Ybtt7Mc)Bc6&nNft!0ziHZ?r0Z6M%S7vw&dRAX1{8kMi#39vU10{ed- zRl$%76x;5}?XJmvD!!Rg@s&9oRgLj+fFG55N+qwtB_yTMCe^u7YqTH9@f%}qEPxpx$=^=Du>{&0=!d{Mu+PA`P=h*Vl`$y(ySJ(% zH}!q`T5J4)HU!ppRP&(pukZqEStfrs10Wlq8({zY_~rkXTJf(^KW)CAQTxXIj5^TA zil3fU`>n6QdTI^(75(`+zO|Dp0EjXzs^nC$oLj1raY_0q?&W-)y91zF%sUHkLGBxK;?f#(sEi?y4}EP(md2*;L%F_XSQ>+04t!Ru1?JoL%U5gVr7_8- zEtgmMTdo3308FZU^|U;sjCHO3DS)4QM)otpMSx2=e*0AJ6@YPo>jAp%twQb%fN7bY zk>|)X&r324R9CWfDCgI_|B_Jdqp?K@`Ie!H9VH%e?C^$pK>;q z+hhL5ePYYvstvUEqNVkx*0*IjXYI4MtT&J4_^-o&9Hr!x3NQw6 z4PXl37Qh{VdjR+4&kF!c0FR~5FY+?zC7Bl^0HRb7tCBGTBmtxXWXSEa0rF+O@F#!> zP^tnKzz*4tYJgg)FM|5_fksx4(wfqh(wlNHrT@A1l%vlzVo87LSC!)m_1uw^6Dg;k zJ0xE}0dVfQ(v+c;p%)SnV=Yr7(kGBSL2}r3H05;4SjrekTvHW%2ZQOo-}I$7i)iSb zA|Il6heXr6L!P6zhQ!hPLN?KNe9iP7-;dHeLOw=s2g#)Of@INqL9FyfkZgJ*NDjRV zWb2>3KaMkT(zkzRN7##uy#TTF!cqV{mZ4CplOb-BA-0kuQ~+_63~`lgmVuWcNv=~@ zb&)L0eR8%0#4lV0yk;4HCcOAU{|iS0%JKJb-k-ll!8Z=lH@O+TIYxSCOfY?uJA}T; z9Zv6ziJ|wz#L*jKHqpCb%=8Wz3%&g%iQf2&gdkwTd3GGkuBP^J|1iE6WO&mx>6PEq4F^zEu z_|_-H_%NiH`+I+|CK$#v&h#{z5U}j0EHw0IV4o3I z+yQQ!p51L{>mic68T+tH0?YnYS`C&%Yx4m(%R}b0I4r~FdzQ=Qbjww&pR2K4NYGm* zKAdcsL|XYAn_{_%GM4R@+sLzcEO(J_zGImqnFz~mOO@pT)+mc$sj)0l8<4fsS(Ysg zo0)$C)HZ!{Ap+_o@NCusl=%Q`Qvhs({x>JeHZEEYZ%&cu%~nh9<~-={D)lu$dctJ8 zhGm-`sO-5(y6ZMqT8?c_k4@PeZyDTNWI46DL>d2c4zQznbC{)Lvx&y#h4Cu%fz2^A zkN8e7MsLvK43&n@G2Za9VJFiXY78|@Z`glj_#!hHzGV0k3pISz@KqLO*kkxQ3pX?y zTG+Y|9K#%UmflGg#+u>W!V(}GCR~)^62KK1Agd)@2e<(+Ey1Q;P|r%W8WQGL>G8C2uK2hO7omTY zCJdVeb9KT2xH1txfifVq9233aBDA;|6BiR56P<85;V^LLVxrAL{9UtaLv8$|d4~^3 zr}+><{Dt^s1hcRq+bkqZ`XGC?NH)elkZd%ULc8{aL8KFg6Hb|v5*%C;R@p7_M%YPJ>`A>8a4 zV)4I^M{W0kGfIRK8&w+RirN9V8b0kHUM*pX7U8NGRS%^)s*ye;Ey{nT8;TgUUj|X7 zQ4d8VQ1mI9)OzZv#w+dAf35Tx$6|QJ@CuB%!2nTaXfj{~eBix_U7#71|FRib1#pTv zBTqmnBz)RepYWB_k>`B$5EQ_s3#P-S1}rMcQPTwi<+G&e9M!8D&iOP+dYU7{B#y}g zaMe^}x*9nWIfB@96{COL@C8HdpE^R*1As;5G%c8xOphb^NPT2PWE5bmVgNO6~P0Fs)I!wa*-1DSd%>Fkwv6bh?8~1HI$qN`POmCEzqPrF&4s7XXK_U^wlC__%)gCTxYVa1C>Yvv6&A7=S4}COjT6)`{>r zB|IHUOt^m)a9(&J@Qa|BfL{_`1`=3y%XK2PQ7Co01zRjG?|Zbg8^_# zuFuN!1%OO|Tz~@Uv+*c%hV-tVSziixcK!VNhwFbIL788_V$y{4VljoRU%}c~w0_29 zTt90vnxaickba286k@WNEF@=?iquNcXi7$nfJvVz4RxWWRXwIm(5qQL6Dk577n&Nf zJLI@24sdVC?tilr&j;Pj?ozf0qwf;4&~yMRK%QJ96ao~e;D|82ah5~gd$l~=KQjuG&YOGi5LtU~a zMNf8U5=bVYu8`J{{*eCA>d;!KyF&W^#QT#!DRS4r+B8CbHbP_?AwrE1xkiXwBSfwd zBG(9!Ys{488~|_{G0=aTIXsx@;)< zP_oy}tP^z;Sk{%U6R6H#m$c3WGOl$y*1702mil$I>uTw`ODb|Je~sB7Mfy+|XJwn?MlNR?kVLNI|j;gG<%qX$De;> zD>*r+SKANttQO~XR(FuF2l={7jMH=l--gWmK#RNBa?lON1>e@fU9u(?`G&NhImYSY zG!Ee0)$WHFsS0M;_6hEAvxq?g@;@iiE-<^&fHdNuUgQMz{$Uzr5No=S!(SrFWyH`2 zV9ghZ{{XRW_sR+2w?2X0JZL*&F7x&= z(jfPBlQ4RFnOZI5h}bfY0_YofbtRv0CSkh!U4eOf5{a5uent8Xnx9dR7r}osKhz0; zUne}Eg_-8xApC&vH1dNsA{{hJoJpd8PB?`)FKXTdED$c1F!H$-q9+k^_lbUka5Ldt zNrv==IPSwD!uK69(nx7HB1#$3aHD`ccL7Hnv98^MA zzegNr@ZZC+%|wzM;jfT}E2MwZLpYo`?WE^#NG61EBx0RN+TJBB=|2&40>@7HzXU5petYl)(DyW(0snX8aH$$RhrWb3$Vzk)%45pDbuHz^jhndcfy#?FW6CW~7O%-9_}b zh(3WBWa=sAK~i%aG5>bkiCfVFB#TEJai<Imk@CzH%~h>jxqJkhPB^9pesB>yVm2E=SxgHJWb3I8Qx zE{5nq#9$TD?-0IBoH)|fPnPIq4agzQd^*vogs%}ECjJ27-GsY|Uqx-1+&j`_C%aAf z4B-V?2JwGU!iNagBYv`z=o-R#s3$0sG}C->C#kPV8tD!4!!}9V6WYNc#_>G!6ZFQD z^EfWT4-f+%GszHQIENtTB3->iSRj5s;Tgml2gz(FY$hB=c#h;>Cz-pXbDUZ&5{@C< zK{5wPzJX*OYamy18Jb@J{g1(QM6Uog2OBZ+F@ArzV5GUI;CR5H!C^>i@ErM$7N4cu z3dS?ZeQg+dPXzo?+;P}O%}ao3zhhg8HsJ2|3Gh(0}grf*&(>W)d z@Q3I`^a^1M;j7SU7-Jh~d?&{S+Cx3TLFh3&L$Y`1tTjmZ9qA;7HuFirlmUXr5##BO zPr`rmDp#dVCA^53I9E`TyF&ac#9t)NB5@Xpb0xS2F`jj~MZ{o(B-2mwWJeZiTbf#~CC59Bb2ANCoXefrDGeTKh; zWz0$#tu?T}Biw{}?8%Ry?GGrgMnX0P4J))e5bh_=GGZ=OqVYTrr`d~m6=^P2!qSPI zTBXvxKtG+{`|;ch7&C1W@_7%*ERxPDlBvRbmSB297953o0M}72t;AL&xk$ZSA^Lw9 z;VabRF~WbM~Cs=Kvclpdun7qM{TJML}$+ zh>BQ(s3ajq5D*ka0kOt{HMSTv#u$G^tg(T;#8{K4u^R=Z{gNSq*XD|^G&|9gdm zA1`pLKn3^N6f;JJyPo_Ug~S5xBd?xl#r;ZU4)CPBQloXGJR^B+1z#tSdz^pga#?GE zyf49B1afPl-)!I@-~fTVUL6uMB1X>V#TFb_e=%|;5jxv}Gj%S@)) zdQ1VoK(NaYS4=X=fPJee0o4XRL?tA$s^G02Um+=bC?vcxh-`8;iMLix!Sh694X;k9 zNUAeD?}FJuRHHJ?6mzGv5IKL#b91Js)pEbA?`mbH2wyFL_ri4_&K2ubaC=}2;0<{D z9{3cw0s+PfeZJlht)2DRoJ;h3-G*h5;4L0QH>uBU@V*2Zo&jeHB(K3&0l$Y%9pFkx ze8BC2Er2&5zX!e(I0g7nAdN=rLEuPWXY}$II-!t60+#_70ZY+Z1WbQ}BnBgo!Hma< z2r)!~cLwh)VuPQxjC^rj^MzNd=@BT-cOzo;cdWZw!P+KZn0|+OF7jPyhC+T6co4Wq zt}vll30L-3Ks#VtUO#2wx36g1B`9 zmv;kR07qklQb)WKk*afyhn9fvK>Xha{{iy5;KzZBfud3o?EZ)m;};$%yD#h$C-m|J zoEUonaV|!Fj-}U3d`8~hFolYdi)%NR;2ww?27CnE1w5EgaMZd1#kwA=`UW2s|NJa~^#T?mSzRZb0$ms&u zp8-4GkVim13dgR1oio6Rkih>8bD=!OvCe2+4h!wkx*2~KAZJ0~dEl|YTR;osh>)@m zMI3VEaj1+2jzna}i+e)Z2$DnS_X2nea0aXZNf`KW z=w|^-F+zV0=#JK>(Ch(j1C*9Ogg#oS9VBni>Icb9NbZ8K0k?;KIp9#B0z~yta)ARN znGH;XwXQ&n+?WiMXYB+$0?9OBFQ6AN1{ep#IU4(6Bywy`aCX~(Xf@06Z$fK2_+Iqu z3LXi>$SJCvF$9v)ayBt*7l1{WBO=fsXLGecTcCdpX55?z#IbY%EX+V(-jEZi4;}%X zQRr(0`aJ`j2nptkVeS;%$2K{mbvdlHN9$%-fSd(^<6bw51>OQ$AV*x4eJJ+AW!=hn zoR>x6s=B7MBggB&K?13(Km$-Az{Zew10E1apl^W02pu{Da;ZNUt@VN3fny+l3=RuQ zU*LZY96JDf5x4?0Ly`yH3_KJ#8j^{?nZRK{BT(AR2SRR`0R9%ORUml^$usaGa9C4J zz@|V0a0qld1A`%H4{QW$^?)@X2?WaXwFM?Y5&^UToq&EocVI(kehFL%Yv+MDYa@fs zuV~!{eg&-#;LCxN(E0>i&etA+OwJ4D$QXYBYyr*Vuy7Dq3G!Ny<0$1cuph8Ba0hS~ zbcW)nX^=#NdxD=stCY_NKPgr|Jg(vpR|3}p8w0T}B2r!=kVjD*;!Q~Afj@_iSJ?}3 z-xce|t%%i^SUuux9HR=vik;%UAGR8j$yg=cf&{x@8V5cAtt)^ouyz2r6Hl^|anyhE z(&1uf0m(q@ZLh#Wb6}8=n6O7PcmUwjmtU&FpQTp(*I z(5M2}L;e!ai8jmov8CGL5zx?koPUoR|+sp_6rNYp!JU255k8r0_j?uOCdB1 z#GZ)CJ!263fC|7fPPAUf$Twpa9%6rlA3y@Rit%%w@K*MdKw}hef!GBrQ_$lw*bhR# zOQ5fzcMUePr3G;pmtTbTVrRVoQl3Hn`v*%8Mc&__NHyG3cCQ0xwXF#@?KWiLW8!&G5+;p=oR;_b;%J2wVvKNk*d{|4R@XahPQ}g9CBy@Ht{>Gv;L|X1qN3 zR9N^??k=GpD(}g_f-}xK0}+@4&u8GM2pKIhD)bz&;e4VvO2vH!HchmuXJ9!&*fHLR z{d4GXIz}~3pbcWr{8XR`_a%SS?Yf2Gm=TU9?3&e{f-?g7O8D)tw28iQ<*pg`dF}}` zj+gO+5$?sggo!u5nY;&*Zn(hZ)uA&F=i&?=hdF(XGra)G5=iEvuN#m=!-u1QeSt2( zD70>c=1M#-I0MT@Sb$I1F+~0tSS7)5x>>@JWw!R8!&g%y^aTfyXTMr$>g}1TBaM$e!Y>#L6Dd^D%YZi=c zJo-%onuWv|1^HHt&Gp$$%sc-YWwi1I=?ldvO+ud@@dPEA&X2CyQ|bR&OsMuI!rd?nE(oPZ{%q(|$k`~j6~LOG+?*IZG!7>S@agssK=zx%!c14ptUP{DS+f85W5v6 z95@K^UyR(r9*V}vxyD(H#j#_-AAvuT9LG}hco!pi2Aqj~0ixZ6@vD&nO^8qS6ULJ- z(7XYyUqIdzh#eChD18Du7>NnqP*dP->=6tjWhFuHbufRD1Zf!-reXZkWPMPF!aqY1 zCsR?K1dmojxP`Bv)0l~hJwZH~;x*@?*vAPSa$Rsf?|8ca7y-oHD#Z`27Z4j);gjvc zjN19C5op?m2&sZ|?}jlPhyB4!juWF|GRuEN?-6L-3Y)LMU%{WKibe%~$cOwe z&capd<9vT-GG~Y4tp+i|ldv-zJQiN9CeRpy(RN2`A&$zE*EM;)&_^4F*&hln&VnX9#&W1PO9bEdbAi%|vip;16hhA4heE&jW$i za9#_MFRqZ(16~BSMI8tOH-J09GICJq1AGe$d!-$jS>WzC>IL{b92Ft21p-YIU=4NN zlqSa`t28t}hvYsusxkMrXvG!5gsT$U4amu)FiThOO z5m!ky2D}ZpGx|D?k?#TChy6T^_JHKl4sb8}>I2OXWZV>BnjZgQm>rSVqlE?A4+OWF zCAc{VsGwi0B5eGSO?an{VI^i-3JYBjt1jTH_4**tphrGO+f3-=y*^`-9@_#{CS!jr z4A~)g2guu^mjJ<4RBtr_9`223|4BS?pch#o#|-!+)7YGIJL5_b;l?Rk6RS#g?QS1dWgv3xJdNHD&8|C!{)&6ZK{dvk*j^)71 z=}%JdY`P3jI?BcY(|}!Y@2D7jns|RIA@s{$lodiUOI*!`9c7R}S{Zx_a3>^fOF;2QWtU^Z|i1)UfOUZ3uxukGZ+{h6H=Ny2@T;(pjMf%H z!gn!3zbCL1^2^{?M5`jlP$al95d0)seSpre{2FpIo>12X_d+jm;Azl&25Wzza)huJ z1)Z&s;M~atsR@Za^ryh)6}-D^l4F+VE+k4ca3`K?--3l7(7I91f_M&Y=n0$&Ngv%O z!kRlQw~so;OUU=( ztOrVOOFL+#z)p~~mt4+*?lFI1=e&qU!_NZwj0^q>`mShwANnyERXg<34qST48#<8~ zZLvHfoa0=`{n1OsGEaeM4b^)Ta&K7PE|7gL(D+8Qa_%YW10*hTBr@j3+XzY>U_%-I z;1;~4P#xB)KmdV{-{eJ*^Cy)A`27r0V-Mj|9*fT6M#=n8+F1KbGBBk(|T z8Mksgz=yyD;ALo5l#v5T8pd`OSS;_}z)lYt)zCQrJc(n^;k+V&J%NvKjyIvR4VFKH z{0cBdW;A$j#7QG)W=m^83je=?Pfo~j0{h^oQgAbP2KqXKnY|^BG6xB6LY}HIz%a~R zH_>WBjZ*94P5AqmM>l_**KmxgE21J1ny6Z;v~Ps`u^jo^Y-EH!#!T=ki7EOSiP}B$1C~_T=2tE6Rj*YBi0%@|WZ;+P) z&jWvk&G6Ft90|A|G>2lei)2p0hb6ds*%*i??8-cx?{j(9c(;F~m+=N4Pqwj>;E48p z*pKwW-RXUJy5~)Z{*Hhx$u+DdEIuPXfw=b`mXStc`eY>aBRx}+5;92NwD_z6WT>#) zEjrwtxbZ7TnfN#Uhz+qL6^J9T7vH$dOvGQUX`~#fNSufR|1RD%6)nWd4C1dbbgmGU zP+>PJrk%U^Ef#;Y8}&9u%9BdHd-oqm#sDV*rvc{zbAc-p(^C78jmfD4;>mX4?$iOP zS>#9H;nd9ZG;$JnhAYOC3&3k>>Alm)9pJ=@md0zEXQo9C_h#C{+huoe$!d}!nUXWIfsb9{505hBKQj!+szoS!S=ZR zc|m@bcaxuv8~Jhkvr2^gbWV|WocHp+xP9l7`u7|9S%~+E@CFfn)kNW=?kL`5FoojV z|MKrqvA%yIq6{8N5REX#5?2yRT9HT+O+FwAq%X-J!$}VJ+)Of$9 zIYTay8{|HDMtrH!z!aw)&Zv|X?A3pO<-{Z5u^aDQEnSOu7=X%qAu3ca{z`w2I+6VEOZ~6(J z1*SvzTxU9r&-JDwTzj4ADF3#eYahdBzUeqV3rr{Qxz2PFpX*IObM5ti@`(6l@}XqR zzvYorIPb^pB4 z{qwu-m$$lKN_CHvNssVC1CN*u(j#U?dc;hHN6bcl=@B!N9xZXkC+{#N6h7fN6h7=N6ZzZN6Zza zN6e1WBW5S*5pyNs5p!kf5pxyk5wo+57;{zW8MBM@jJcYK7_+PNkGZ<;A2;1UHFUq! z7H4XIcGvwI9?w4A+Up%E>{$r2aw|bN4#{8)YCmuU-yVNJmRB!#8>x- zpYD+cx<@R!NBrTD0No>jx<`U^k2KUh(n$A6Fgy~Xd!(`Mkx<f^L6;3*lSnV{g^sUYB8E0vQ zI-9K(fkkuK8J*46&T`fUdcWvww)QKS&^xEI+4y}GPvS>H_?xYv5>RDtInXH|+ z_H@D8(?x4fm#jTqw)S+z+S65QPuKqFNh{{8t+_Rq-PhS{?EzRDE_S4)))C!* zu#V`abwszUBf4!J(H-lE?pjB5&pM(<))76nj_8SXL{F_F;<<0|Gx+fZjEmyJ*Mqf` zTDTVTm79GKRsmT={92UyceBM;KeR1Tl;6PGSy_84i?p&1U<|*3=gilNo;dO;jw-uCkv{t~pov#cJtSD?4Xp=dJ95m0h&5OICJ&*~+ef znfSWdnYi=W^COK&Q-!Y$l!d&%L+Ev(a*%5+RQO6k$>rYy-_pys}BzOe!i{l^uM=Pq8v~;!z`h#YL?9%Im+V zxR^JrQPyyqo4Gz!b}75~T9HS8o-zl2DK365PsAa=>WF_Mov(a(omJ*5^GS7OfwF+O zaT|L`4gBSI3;gAG7=HPVs*}`-ir6hsitec`wH5cFs0MpngWgrEQxW+FwT4<#jL;BA z*3f&j2KA!e)Q<+xMl^?xrxWO8I)%=lpV2w=3;Gq!rAz1vx{9u$`E(tB-9Wd{Z|N?& zkM5_3>2dlqEuz2Di}WhJ$!*=I59nk1lzA~9){M1aEm><8&LUWQ7RfrXXx5#5zvz*g=uZH_F6}+ix#8BYH?bBFD+3MzgqDPHB+(V zs6lJ+d8kY45`}x&o6mzU_2u=!pZXI<8`6eErDN$>Vxr^dIAZ4BpFnKrBsz)M(ogB9 z#Ewp<(}~7s<1=DUXY(0!;Ir}tDaU8#D^i{=qzg#}x|l8|73p%ioH+8CT1A}rY^@=c z_>AR~%6!(=kt%$D<~9&#K6_h8RX&5?5*I#;yGS)YllzD(pUwTGIz2=W5jT2_9wRmQ z%>GQ=`Ro>vntXB6Hkm%PU#G>=5{C|yRn z@B-~y z1o@c9^v|RhJIzj$cy^YZB?&yf&y(If#xIjZ9_QD8NfNuwZj)qI%!)}L_J}I^1P@(2Jzf*BpEzEs*p^z zs#=v~snyl$WH8Sa50cF@#gh!@*;0p$;2GmZM)ItwPd-t_U$l+l+2cn>^9-_(F=~Js zK*p+nL23}m;n@^I#;KudC>gIdSDTXwYM2^ECaSH}R%8;-usAZAXIU@ushX%Jk|}D2 znn9-8ZLr%wrrB+>+eD_@ZL!-zX7KD&$Y(qQRWg%jp)L8GXQBg{#j~*@nawk@GMU4( z(uI7XxoK`>uI8b6kS}?L)*`jD?Ri)JAUv>+{rEYw1@5R%KY zw<%e~GdPUoY2jKpS**3w+L0w%lomyn@{I06mhr5PA9`mMCSrqHSVpuGTW4%}+OJQkjAj@Jy*+{m8tzfHJ0o%x9>09Q_ zcC+u<0d|-jr-l6U3_Hgzva9SSyT=}~r|boL%}P~8RaINnp5A6rY9;ESR#Vf|Ml6Q2 zo@#>Hhh4SXt{FA6rfKChC(T)N)!a3IPt8vY)Pl7pS_`eU)>iAFb=IP_?%D@hPc1=9 z)&}r%%|J~fsA&dNGX>R*qM8{|wHWG^ib`cdr81*Z*`QL{qEgwRB59~d_NYh>s7U2d zk;GNhisXcfR0$QSGAdFPR3v9qq^hV$E~rS=P>Wnqi>jj*xuF(+)j*wb zN1dsOI^%&lQww#*6LqFG>P#KfnYySmUZ^wmP-p6+&UmBF_@K`Cq5}A#0yIDcu%H6? zqXGn=0tBK01fc>nLW;=BNNIPyxbF0a~I0v_b`F zjS3Ks3eW}>AOaPjEh<1eRDkw>r~r|u03A>PqEG=kq5^b61?Y?l(1quI4e}mxIT~5q z6Q(wI{OmV`OVDWNSRKH37NV8@ZW? z+)P4lCL=fdAU9Kxo2kgnzR1mf$jvn5W`E@70OV#ma&sVZa}aVfJ~& zC+KNQIg? z)ZrXksv|kJ{tF8)VBsY!yoQC}Vc}C)7zPU?VBr&3;QK#yl+XYhZDnJuY^;^#SlKu$ z8*gP3z_6p%N(9%Q{UPDi<_aO~Eh{AouM2#i$ZLa2R9+u!iJ9krbu#|$H4=A_>X0B< zDQ|a_XEQ&N-ur{`xDdPjWBgkQ-@RRgo&z1tbDy`#PkIkKM6shF*c%x5k(b4u5YH&g z*zw-xyV14c9*B}BcaEgUuAH62e|vHv#w4Cic@Q7&-7x)r!4d3bk6QQrH^i?Wg59*T zTYoThh|vD0dt*F*vB48L4Nsc5tCYvInz4^D#h9u;xid&DLR`e(^EyFFT=rOUT)tCn z>Q8Jp@i%*eNy*D`S<6K$6@$Uw&SIl7ZwIQlFk*>UZM{{4(U23Y7>s#cE$>-;-jTR2 zaU0`Gn&Q9CB!Og-bdpArNEZLUx%kgg^BrSGr(qXAd%R?S*5j78r(M%}=32JIO>0#* zFQ-zDCCB)KC5Nubql!UM90U0fgFOAi4(06|HXQ>Fve;WkYhZj_LoiI*&8Rvm-Maca zS}KSyW=Go|@tG;91Nvm85AZK*j$J%9JuIOoX_p&eV0Ao>bGI+#P<#N+o#ntx;+n> z+Qa$z-T?atK{M|kXmj(sDYms&KUzFu(l1X2_K7^!_rk4p?DJ+1*udhJC)RAJo&4RJ zCEJ{TUk!B}I{4Vsz_?Ey^!f1tee1P0w%5K9yT(ipoE@KF-tgIb6_Y+|IqJuv+IM}E zTb^zm^!m%OFMpr3_(9|78ZYlQFY7txklM&}{`|Ln4+fu@xW%PIWMS>62Y;HrF22u{ zb6p#hM7fRg>3uk_d~}5!V`DR0jlUkW@!`FH*}J21PJS}iuJh;yC(3{1(k6M0^5kPr z60vVzw^QRXT6s(iUAB967lR^xWO!+g!G?Q;S*mlFR(CK~HadOWq17er+mO7%ycVeDw>U}>L! z*EX-s#MUWUSp!2GH0Yg?=GXs^dGhO>-oL@XeyKv*U|>di;^5v{nGLMdC}t6p$fMGa zt5{-HGfzy$Oa_B7$`Wa5_s4gOGO?-N!H^+C{;GqdjDKP|%i<`;Tid8vZ2vGx&3{Tr zDx#!Zt1fv(ZuwPO)UaLQ9XzE^tnUVYOXnt!zVDHGKk0PT{w^6$Gi$%NF<#j}*mY2B z>ordft)6lDaC&UhPj5FkHoW2eTZf0{wmkQLRrlPJ zr>|6=J2N9{o#8i6^-Wdpc^kVO9lq$!tk8b`BgT|CXc+Jox6jNRvDtni&Ow!XqT+o>m;EV_s?y#CK+Y}o%u zv8ZLKDU;si-S)&J_pYgZ25_tI6f*ySpn!nDz`(|`kOf)4TE>q1-za3dI{gRg|FSUM zSr@m&-TtN5$enD&==_Jp*=xPJwg^2R_Q|Fhfwy|JSpHt6pqS++HcwrD)naAvRo^ZT z8dmP~sN?YSj@gsf`#gR>ZdLKsv-Jkws5YVQ*Cj7~b6Ym@whMc+yUC7k;|9;J9Npn? z;QrwCCB>swK5tnm&YV=eX7FR59UgY&HXmYXI=;=1t2M4(@xlF( zKi#Q2{`9B=t?j-bY(wXyLHqwGOue{A;{Ga8={wcx{Xr`}2<&)&Zk}mO*?70zlU`*6 zw0vrLPc&CB@_Ml>!V>=H`QZ^FzB0%9f&L8}H}nolj!(8E1pE8OCk6)l2F1q*`o=fn zUxOPZ^tLn%2n-5}PyD+Ac%;Ie!>2Y^jx`(#_6w|B`P-=Zwlyr>WdZDL;UzGSm%xc_ z{-XlOW0^-X58{u1Eg`;v{=NYge+x?B2k(@?P8MDS-zkC3|Gg6U4{T@sRlV~+JD`5W z9)V+v79J>m6->etUc z@+?*J*USV;wh!zk1wscK=qt+!=YRO5WEgDK=iO zlrJAupga0R=kL3_DRafC-p3-ZHNR2P>22BKE8i)OO+IqJ)UCzRf|+6dA%neo8dpX1 zzx90hu&`Z!HIChBQ&e#6qvp>CAH0>^yVud8`Qs-|_q6=>DClJG>Kj`1iFD|&C)DB5 z)-OW83As^wmU+eGWWH=;J9)iZChMJTd|(|Htk(ShT;@JT^{};>Rp-;$Pkj;%RV!2O zc>k)FDt{-mvCfOXuf#n`MuoTTYZ(?HLZEIGwDZQt*JgPd>J!}im|1@7&iJx*EMvBUJ`yM}cb^mSX) zxwo}{FE1QC`NZnX@X=?-o-f(;w9&FdacwTF%WrnYE9Fa&_0I6skxwH|1UC)I-8>|2d6nGhM=JbRb!Hw9)!xVPGh-NxreSPX27_!F z&S<~Lnk^<+ivSpW~G+NxDTe&1aTe7Q&*3KNkN1{{EJUO8ib0vP>)WyliRK0~7Fx#6|w_Zt1e4(WS_E`he6 zSY`>DgWK~=@{AG;{yxjT43l}%UP90^#T-{q%mU;=c?HF`{5Of*Qoxven)R>zfFC^PfO!2eB;YC)~rQtaWh@OiB?STgGtse5KaIwwbZmy(Rj8+SdPI zvWPi@A+_x4x0Gv^vNam#Yh0qqrVgaRF|z}Nx50%y(r6mq&oXkfO? zTiF?h9#X5~qATVuGx>&UCB=(>Xv(y^%N@&iBKBoNx0`Ca&po`_Mbim-e<>Y3G~qXL znWXaIz+=5kizSDdvpLDTA z_#Il+uylyqe>uji7QWBiXP+UV+<1~ORTV+$72Y5eC>x8HA3!?x4Kv;io1;p-GZ$?o zwGKD%W1|Psja2<^rlzESH_>~uu~%2Q=FBj!ChR{Z;*YD1H~?TWN@pbxy^%boTyx@{ zKg%4ieg5%asQv@ssHb^H+leN&^a7GhZ_L*(gBtoT`ekPfpjfEt&9;I)H}yf90G!9~ z7oYhpxqrhlzh%D%zroGm29QVWjqAasWK;*gZ1PHNp85UC{r^ON?_5Se#nVR?XEhEV z(E6eO#=zk1EITFJ(zZTng7WI=j-n3h(lAg#bOPS(m@Z+Nw#NAE)l64VFagC5LAE!uESY?QUi5<;E~3w=f`; z^g!*gnL~L(`>>jSnU-ov!p>dxB13$d@BB}n27`{j+5=u#INEcrY7~3!=-d0~SAst| z1lm_`Kb>mPs9IfT^e?$Gh zRl4)m8g(w|rYoQ6roOT2(#S_`6gwM8g;rRoOP~n4xUK$B3R|m7jF}wh$=(fZAt<12 zv-+Y92X@zgmN}i5?Z-e8N@}BKPqt6$LZ%1mQ-t|Bbc=x*2Z_F&#b<{`=>FZYjnC6& z1+?S&#?ELcD~7y#^L{8QOKa6Ud?2JwnsDLN0bz1Fo3S;Agu88r9QSlJkjy-(U@!oe z(c!k@ts?OH>&OVsc!>UDyfFIdFTx(DW*)GmCI}~gV{UI;=a%0vQFjDke5lKTjGYFX zYomNzT_94z;>i0C#WP>))%ZG9;j~A%@d>SV#L){OX#pi$t-Ib(8m>r3d#b-+W~*-y zh?Mbc(w7UAr_CVlXx82{sT$@^9=SGni50eyO}HJZB&HI9(6dhtbv82*udAvmw;^$I zjTd8oDcIPH5}@y>v6!0-=b|FE-DGUtSnZret7ccPPOPHM_ivw4V5a3bOe$B*+oXuRlL8(w`8dE3Rc*Jcf)cR~B2fz~d`15N1s z4|p1k7s9Y2C#fv*+TNBd_dat-e1WyDV^E6`B02{y$@B+v{@N$#e z7YG9s07rlVgzzj9&+oxI+Ye9}DzVD{GFZbXA;BcFN63DP_Md(#Fd76f4JIA#w24Q> zdw3*l4}D^||3&?XXKSn|)kuBU>$Zb`-GN>KX<7X^viE#q4*fM5RobvEyb&&0BQzUU z@GI03#3s6lPYhWdi^+2h-)3Gzi8unXpT=j{#JOoh<~aSBYwUpj+_DrYuh1dnTdW)f z=A5Mdz#*lBBC%!h;BkX#E>n)-u~)&~Wv5apd^X3^eEgO8ql`Yij>rtLN-k-C{JJJ{ zkbXiM2sb)o6Iju?_U@ZIEUJn5CNgBJVQ4jjI)kV;uQiyF5?pOtht(44j{Lb-VN);s z9(o*d> z)1VSOm*}!8Pkpy6;M+SAD>S)(7nEKpNyOKk6$tO)Uq<-xt3P$w@LTX()`KAE{1-*O z*0YVh4zL=V-8m&QW5Yr8jyUF@c)g^R`#IPDnJ}Kcs=p+SRSmrBO!AGRXVH zDBWsHbcEpzO|KTDwf#bt982x0R>T3re6g4h-Nn&uk9Tkh#Uc;aWb1r?K$@J~s#{1~ z?-Xg*^KjMA0xvKBIB%>ymfqyl^1!6!CRLo2``pnwJNV+s%`_@pUvxM;z#HKv`Xm3* zS*Kg?yNmry(Dkkob(z8{n7xl4R$hq^k95hejt0Ru8M zFqgb20U8Z4IWZtJGczDFGczDCmyss{e+f4?I3PAQHy|*VttJ5=mpLc_fCDl$F}K7i z0V4qeGBq-jEGH$04=Vw;4=V!nRRc0LGnWQ|0#uhyfdUAZ9)bb}mtcVc2$ybw0u+~c zfdUSf5P||1mpy?336~y%0tuHrfdUDazJUUD12Q!egPTPMtb+?MO^SEde@p&CDG(q(xQlTH=UFL@|#I$;=uYVF?;X z^x6p`F=9y0=-lZ0U%Ei_W>=!VV~6C98{}u&agLb&ibf8N&h64Y#Bo(GBCbWNh2t|v z=6A0dnTWP3h{EojGP`K*BRBh_vy=$5S3G6GJnJ*TeXn21YLc=uwe5K8vH8mqZxQ03)=(C_Jn2O;#mhQG02ztEK9do-ES!c9XE zlaHWK>LN#?eS&^fioTaulZnixhfF=tWUMFDe~M_D2sfF8zZM{bN$C1<>SWZ@!VEP6 zJb5ECtmGmqZPZUSE|nt@(_O@dVW4)gez%+{>H1!pE=wbn13t|b+D0e9jr@tYnp5ag zTFnmH%6sW^I*FVr+C_(GH;2;)bc$Q@UhYf9R6_T1OFB$pG=a+LValfsR6#RQqlyX; ze~YBfR7#t{`BX=%C>QgLryQC>2gLyT4C@NbZq-gQ_u>T+FN- z_2igJ!Qr&V_!jd&!VMgccGh9!Qy_VNnkn)qm|D^WNYX*a(N-AdAUPk>^H8axTt!>i zi9Vg2Du}CjEBEIEkluLoSqI4+q%*>4G|E3xli(#jmPk)SRu9r@5i2){h!wFCJ?wjU336p=&$jrD_-{XAx-S9> z@$z$6?*!~U4I@dXTFg>lej7Lhf8!3osvsHbwGDZKXYdSq4!R*_XGN7CRzG89{MiV^swqb92%EHbFnLBx{r|$ z{c_Un7<~(r3#1rfhJI{gGr5e5m`}R#1I)w$`cCcC67+ab?a~5BL+;Uge=v@z(3{;D z!xZ!oOHSlYp<=As3f@K&Sf{}}o>tIi5duv~r#u?YSs44<821FMVHVBjB*fN$=POMv z2h}M(t|B|+A4WG&GJ26Ckk(>2=r|>CL)Z$TPkQFfAyd^)L#RZmgEVH{|3x+Bn>7TC>yOmLAOu`x)rtVLTzbJ zUWP^3LOqPHv0@8RVJICdm$9>q${+vknqx9!uIKR)|a}XzT7*_Vu{(D79eeZ^`a7+MB>{^ltTyz5if9BRas;{&1Pyo;lDHcF zfeGvIHs~N$<5uL#Ue?ok{*ur0dF=0*`~sij&p1I$fz;gCH|dnX$C2_Gf6Y75;v0~{ z!|3ZU{DyaFe=lDPpY1N%OWTzzse7Q*EQRrzr|PX+~3*3zu2+A7s?&&V-rX| zKC9{7f91ajeb)4M!Y1qR8==oy{9f23PgoUro!_fCLhzeS>-t+9f?evhrr!1USRxrt z^pHGpaGS`-sZ!4yg$1Wv1X)CkXv;H^QpS6D9%vJFlU6~*aQ&65;FCHmd5w~uhLe6h zz2viV%^B^b!k1en!bJ?ma2NfXgOo4wfbvyZf58t5hrW%0_o0KO7u_1UDT-x@D)`4T zrGj3-PQ4!8R^UF;3cKku<=t(A6>No6>Df84yIP~(X64!KhVOJYEUWaFo!DgqP)d5r ze^+z_-pFp~+})IdJIyI7!HvQUvS2)B>{ow~1$|-Xa+fAQ(C2f?o_#jp@3a!S;;Ae1 ze=Hb*^fs@wJsumg^)e4svd<3qy!$GU$ERIRr__jjt;ijpbea8;wxtwzxK7FgyB0Bd zpBtr4_)@wJv;m|Rp@mwdX+P%qrbqjt57)>FBLb%p2lr5 z95kC#xDzOazQAe7@QrY5;|38df^pw+saxP8S|oboMA;5>;+)zp&jM9qts*CH#LcS* zkK_XG#V))%ar*<}4kYhxY1ljc*@@Z*5vGD+RSI*%C zZo~ap!%DmlDfGt)(91JRXz&ntvjkp4-EmgSwO)yJ*Z^|jMz9j6vRpZjuFQw5>u?j< zrS9xELu&G{PKRveul{#7c5{$oGUWC5j!##HwxKPG*(w~ zJNWl5nv8l8n5nE?jyAVI%1#j^f`~y$Xm8KIbw+|^@X2Q6y9MkqO9T<}%0`6zB6weU?o3!oF)mp4U*v$kgN%h z2G%X9*?4?yIz%CcwU>(&zG<0PeGoVBeg8J>CvW+#mh#<$rleTG(NH z0`@c&Hw0NKQUrV3f00_+ANP-VXrlazAZazd#EgBk4^~Q^Ubld&plx>Uprro<-3oew z#$!a0IECbibq2NE$XkoInaGQU&H025;r1QItznJZsN4B8c;Xkh4IQ9w;VFf~GK}Ef zZ0A7whuY;D?WacA?XIxb-9Q>_c@k`Re^_)UI03o+(bfp)fA@LRET9H>=2o0?Ik59> zkS0^Q$&^bgty$q$E$6%WM%29;_rh01G<Q{um`}mdj@?Ut?K2QA0+Xms>dj%*@^mRDz z3ZU(0XcR}m=Op-Z{^hMkL>ieVouL>)n z9sM{2x8QC(6{Nva$Prz6KBySpu@mdJ8=locq(?w^ed6^W zv-m4$*k0v7BtZLmVNK<^SdLTS3M+$_Jk-KNS6VhUftsgFkNsurj!v-UA?hrW9z-6( z5X7VnuTr#4eX;Y|c6kotx;dMxy!ve59Q~=H_2DNwmik;sU=SV&+T?G zzs|>TfAerEudM>-R0WrNzM}I&E`=>!2&&+CoLCj=RGE(xxfC)tQ8Xlx!k>VafKDrN z`ZR;(W70H+Q%RAguLA4oC$oW5-_K~I01Cjp!lE#c0)g;LfI+~E6ih+D5QU)>3=E?X zcpc#iTT-a zoWPL^N6|pwXi77_gpNrZLoVQ0N(bfw{{_7q1RSSuJY^YQK!b+>^A#4*P~b!wW}Jdw zB5@K82VSl48p<|4$37ncEToaZA{u3!f5fgCZOAY15~orQu!P1KC*a*moK9nbr3z0-6;TGPgeoUfXA^fCIRQu)xZS`Z=h?8 zW7rjiz#FLuxQL2@i)o7SPr8Yw0+&z;a4GN~*oD)86*L`qv%*`b)HsSWPvT$ce_G%& znh9JEJc3)*YAOTbR%m>P{W}kM zkHULtzHt~fVFB=dg%8j|;99!TID`{d;zM*3aGk>Sw8S__f1{_% zSNI6s0(_KiH9o+NRpR6HSKt#0f1jjf#(sK=mII%r+knr|?Z*4CF?Rr;Rk(>NfzJWo zqvvS_&`m3W)e39qE@L0g$yLBD3SXer#=G>Q!k1_bu$Jxy)&cjzrrrbGM)w)=8|nkb z9@xjVz*p!&;0}fL^pNomte(VIX&rDEtq1O=zZq}SYxFSib=m-Y1Naube@PpGZz+76 z{tkSH9x>jeJqq{IW59Rmao|4S8}uGM0eoNKetOb)oj#zafCm&Fq^E(0sLFT^)?4C- z^epfrg&)%nvSO5qn&XVlZbXdCcLe}$)MJMb&u4p{YuWC_Nmg=fXWx#R`gH0L(3sVHUFblgArHs#hho=1EH^RU1upeFep|KG1r%G28 zz|?e8(`$(Q&ubB_e_FSRjEatF8;c{vCX*8qsbeRMo2YBI?$ona?>^KowZGF_DU${d z89Hou_6VZUIb+7==8YRaA-{mGn)JuU_{SgZ|E$`7{VKWA^IT~I2RQqu_UqfHSI-{Z zyLIi-IVIWAsbf-NLVO2%dz&?`U2NN!=%~mxty@L33=azpe+dq15oif8`}>)6O)w>A z*#{R|-3f(mJ;6S7Xo`Hd7a^gjSwf-Pilo7pmvLJQRWa-3C0!^v?TV5vPf1r(Ne;C- z$(fRD&9YnFdo%6UTAny2AMrag?FCl%85JL?;;U6W2yvSY6|7m2rI}VY7h1F2gBO%m zW))_lx#~bme}+Ay#FCOs)s{d+0}*q#vCpmMHUn8jM4PPCYC+~849XpC&&+a1*)!z` z+**8A(NuTNnEb5F7@MsiCE3jxQ|!fVvJY~HI8+hJP~EuwGTi>E8|w@?8(LwlPOh(9 zSsO~lg^m_e?Nf^;<-4__0@+8H1N~;Y+blW}dFd66e}`w}-_|@gMyt$|85)6Uz$n-MkE4TIEdStUU88v1iGY!fUPW z0Q(?&Y2~$rSeoccH;ui~RudiVs>9J9on@`e%eULyX)*SKqRh6{5mY($#?4VKYt-dA zDaqBLe_uMkr@j(O`cmbT zz9@#LfKkgm6)Q5s9gtC28Ja57Wqr3PKGbflJWtR7`lDwc+%&^Z5f3+fj_$UXmEh}97~zeH7)|9uDJL}*mM{4& zf82UJ{`o1To?7c~hE}Q+whnfO77q1bfyHL~gOzHHZ)7bMT&m_BraRSf`FsD%-Y*+o zi%Jax))Pc_-o(mE%Vl|kVSy?u2ivWKD+?=&YK@9wyEW8aS*Imv36*oR3VkbDYiwN+ z;~u=S0Fx-?RA{T9LDhD?eN45BZ_k~We_t00Q+|71evM#}Q8=iex&!j^>#VT3DpfS4 z$~UWgqilwz*9f!9im7uERj6EDC8+l)wM;6_>`P;sQY$=Zp(+he%2qh>^;&(+xE`)r z1gR?69NeLMg$x6OR9F+xBQ3p)R!?)m&x7}Yb(#z;_oiqR2TTLY)Kw~fqqdFQf8cuX zdtjNe6)9VhvK1*?k&>p>5^FDMFV=L3L)Tk2M|J3aHa%L~Oa@qJYqS+O-{Z7vyy0YT zxC&t>gsZ*bo!W|;{&6Ac0eELR3pBt2b6a0CWOVmB73t$tk#)Y5b(@hAmma09$Een0 zRO>OS^%&JzAfwfFNMDEabx2>Qf6~_xtEOxny-j%|>uW+b)xr)zG@i{7t$lzY)@gf8jk7;ZVHG zaELazCNr*U`dqwEMxH=_GCdPx$i$d3Atafy3cL|~Or=Z)mV@_!HC2+eOi%_WT}wv| z7us+k$3>dv0;PclY6Foo0A&XNU7AzP(TP${^yGxBoM_pJ<#A$poaC=LfmW>-bp^Y? zIp9LD3GF1KUNXj&j2@D;e-!vyahgr6qzHsoZx|<5$lqmY?Zk?jc5$xs0I`K~z=hzs z;0m#&#uOfs9)WVQY!~oo@MLf~cmw!(u$j_4Ij%sFCepOgVzj11yE|@nI=ic|M{iHq zHr5lii0&See!bQaV(Lg6z#4|!5ku~XsrugHzyhk1NISs$z{kK+f8dD_Mk0ifhzTa5 zexfSnr^=lL8(Y9*+5nhR#0n))msf(1-c7#Cuk*T1y&>CdB+ZinA~el zukv22dTr?Se6Jn7{I`iBP@yPvS*UeuoP^Wm%=u#=t)5* zCI!7YDd@pTLHA7x%AXW8YEsbPNkLsE1=Vt~tBoV*h$CpVeN&boccKSMgB!OC@ztWBF5Mv!LPR zZmDI9?A$?|?XI6f*~M1(54rYQw%|-P*$1&ZJe#uf21UC2II?T~jj`^&j%;^8&QySiixU zzXF9`bXxTtsmLt2RF14j&#WVx3{*L?%5r4AqFg)CN0y71fu~$MRj%D-<*EnRvNEe}HeZuE0*;E;u|M6dxY4-=p#Q$oUX3{JflJ&kx*DXrBsGRCAECWUXtm~uxDDUhcEhN zzI&0(9&XR9rbStK`PGYDC7CtDUBk2NMVSSge}@!x+H_fWclf%i?o{+EjTOnpI?29< zZ2Fb#O)`6k>}!+kYm@A2h---IOKCmS(oBO2;G3#&vk0_6feT}71%q0L&K;<ANhtXWwd`2l*aK2Y<%H*Fgp&62c^tM8z zp90@aF$mjf#W=CaIOgR~@vC;vr%gt71DgV2_vYWhiNYthyh}UafkYw z>k_2CMDMTz3RMV?ZY)awmDW-ny+ZrwLpsUKA)Le&`~e>@QN!-W-NrDZ*qB3EG>USl z0=cmq&*@^KHc{K89d7un@wm|re{JW{0$NCmX_fkW^uzQK9brvaM4lL@ZK4<&p#D;N z4P^E(B>5H{V>9>URCe)lepW2dwT9g|;dN>S2@X}#UqkC4)5qy~+D-4#`)Ko9^$XA_ zEdDs2#7lS?-^KUxBd8b#dyeg8vVvlTe8B}e@(_3jKF&C zr7tnRPMpkXyk9s(vc`IghQ`Jo#t@_2c+EIWc1lFq0q|?HXe3R*sBffOX&b$cx_jwe z`ka1*lr*+*IAmdEJCEgDo{v#%;eR*f}{XB!Qp z%5WRIj6G_7d!wHWtiaVYe-~R!uGkCc=QTP(|DyBg!;j-I)}fq@`L0F#$M_=D$t;$N zXN3XpceVDG9;L5s9Mw3xac$#fqo*+vx~0MCilUw%`K3_)_ODF+PWMsuw<4RNJ%{LP zj^uXSm51?o&gVid!5sxH|fYR*;iJe@qd#hafi81TdduqZPDJ-zR*MUWWB3CQZLkR)EAlHlKHhZ@449K;_QavhKCwy zY@FG+qH$;Ap~g>*Kx2n-60WHHPFXqU%^#e!`r!@ck)~O4)5oqd={7IR-!YgKX$=5F%5Hg zNK^^8_y}}f{2SNuWUaf_1GkDo%;q-jcFgAi?WlHA7kVqbo1Uwe>u;De(^S*_rgf&> zrZ-Jr`i1&k<+Tx)EC?QLkJzaX)Mn8}+zvGDOR--#d5O5de@}{7-ih8~ao@@j8KOUY zqixXg*%aZw&d=s&6A={ZUntuU4~i6Rf}WtYpn3AIM8rg~Tolq1yqzwHq0qDi+Fr3y zOxD)vck2WBFzy#SbrM1R1Eo_s55(GiK-Xb~QncrF`FEmZGHVx2vqg|`n|{h9wEeJy z16XKp^F%(we>tKxq}5;CMRvT0@)?A~umeAW=GVdP?5iKwR*K=`2-0TJJ-ich+(xs+ zHhu)_(ii*jdd}hXS~ptC*FhTn=vr|vwHI?m0Chl$zkBHOIQpJ%;a1qW7qB)R#5B^i zATfmwhytwodmJu0^HS*PY+AvUl*|oWPkY1~>dhtED;J|0l79qWJj2!6P^#t&`dj*2 zaK0}RpNR5sL_U7u#Mg~u)`W(U?+DltbCmj z$YY@4*U0~8ioQZ$rq9=J!r62IR&zPsO%KtlI87eIxs?bxj({{L!S>9+8PS!xQ!mVJ zAPs_58iu?a8V`F~2rD^_{zBKm^8SsUrD~i|*^tLIsDC$&u0?toPN>DS6#M@+S_vC) zKRrS3i)X|J+;MLguZaa>27OE)Yj0>S9#04KJM?nO#T|GIw?xl+A* zSpS~brOI`a*JD4OHa-=fnoKx};%Kxh*-h!V!No4V!lsmm=tEu%9(5%Ie3{RWvf%YSQ;NMkmC@CGh8-5H~DG z;{owLccuX9;fj2f_S13t21oUaI)5*A(trLCTo#4@c3w*sn$5AEA)IJ9L0!(E+pF2e zeo9V#V4A}k562`#*){Im@L_j*l%)l(ioDnO6fV`bxa79Q{At@TSe-!eZZQd`Fsbt|rCYF@HS( z@g(CMB^p0cYj7*$#~0fM2Lzjg#a81d3N^l~i49JXH98sJx$GTHZG+>2+lS9Kw`&_t zojK7I)ZQL!8xWp6z!YvW1w{|Q8ti$oTZaL`QU8mvF9C3(Jo}$_GRaP^&0Luy$xJdi zCg&!*n_~}l$>0hq9$-ZgZ~?8iRDWEhT3h=GRYCB8irRXD6%nOg3+MtOwzaL+&w`&< zt8LYOh{q?W{UcS&X8+GS$u5VrZ8rN}naO6}_xJps-}Ah)yRG+aK@LKOaJ2rBnf>so zs|+BC>%u@{aRG4ZyV9IV<{3j)Bt=yGilEpXp`aSng5eOAxT zTHf@t8n09Zjg_h_E<{b^s?nj5f0!mTl0t}uBFI3Y_@I!Qt1(<818T(m-c@sBeko|9#cm#Eg$91836(wKwR!%VyZwv_(^hWJqnbl$Z;o4e*o5803V&;PJ(tokO?>8?_E>0~@uT8B@ zZ}n`w@3Vn8y2Y)Jvx(rda5yz_HAev5RV&q zfhcBebUIz0z!3U!qxG}50*kwWNM~)N-(`Cf6TIYnaF-H{YH+-p{0@kUACFokvwt5p zN+>X$?5V>1?tcQBZ%iXmpGL6GP&m%XVj^Prb=f5N7;7RaESQLkL(#CVMT_e54G&@f z4P<9@=ndE4*URya@npFKaCI~}n(EVEsk;q_qlsu5Ca;rWwhz3Dh`$X?3qSgUiyu}T zP7lLM7i>QBp1&HiF0cJz%QPJ?(s!@gy?6fkQ&RKR{(o1$+~ks&)-|)=T-JT&WeaM5 zx({RZS0jI=V4?_E_zm+q5ita;RcvoBv)%FI;*%47otF}htM$d5w^1w0w|72JUfa2u z-y*%pzbL#Uy~Y1o`k4Pp8Y!^&yiGzB7LFZ)6%0WmP5a#06l*KM2aySTEJP?;BxU3j zSo#f-2!EFwLN{$NdW+3aZ{m6#vEIrM@|rGxuPqenvIV*eTVM%7|bD@P51n zEvzpD&?3SVxog)+%x;+b6B+EO@M;Y2g6#k>>ubPItP$wSpp^$YkzGpYgAxejQWZcC97wx(x{Ep7sc7t-*OxY(^^^C{ zXk|D?_FeYWCC9Hg1=|u@Hf#2Zo|52{m{jkn+pnE=JNTy8u|0vpR0+_ArWce^gw%Aq z8h;LnFs-|vRMlrhpPw5-b4{+f`5Avi*Uuwu0!i9PJsg3Ff68W~?7HUFpgjm-{}2iO zN)2H%5D6cQiGJGtDD zz=18V`p`TW(M(c0J6I)L;t zDzc+KdbH6Xq9V)2kj*yy3VP+SN#Q^sJjp6+&*So*Tx~jdDvl-uv388?U(dB-4-?mjB7% z%4gRuyylvSmlMg!m_n#qYUhN*f7$f>7v)5UE^-QY(+dyY{K!N`7ReUOLqMM#%x(Z! zBu2ENhfPmDD#S}sEvxC8v1MdGPM?|0WojJVM;%X}O3kDl37FswFu##T9e=gzSSda< z@~Y{=>;Y%TY3~r6c{N2*v_J{8K^b(0@8*x^XYm*ESMt~M*TuK;o8oV}-{k(!%e#@C zZi+Yz#TSnx^m8KL*00pBOkGyEq;*4dYvy&&UtPOB+)Nro55sa1UI_a&QI!-%_C|@g z*OPD?F4S5e^EvP$>85PPE`K?iz411%kq0)l^xACB;1K$YDTaFmd$QN*mEU#r5*a2F z$+Tvu%r^2Rh_T`*PI$<*PeprMn^CjUwiR`vMPrh#|3vINhWkO-g3sHt2e0+6dXyk_ z)jc4lsYEWKQ#|8ieVotc@Oa%`(vhPwCW`RUA@p<8PZ%!Ha*23~hJV4Vy~RYjFN|Mt zql7nU66vO-1q%!erpHopnAZj@hhwRwy6efJgHW-M0&WLmrGcGTl<*56pAJF?%{%FV za~AJ>=E3i5tMpY{SHE`Ev`$%Myby!){mule~Y9blEOjMQwegH`&8g-qJZV zR4w8G-jOV8!p5|uIiGFD40MFwMrFM|5oKtgQ9`#Z8eWUJ#D8Y1JxYtsoa~_H8J1bB zTKtda1FX!rIAG!TA!c~riWw3_8(Lx>%{>_X9kG|#>!AXa>d&@J&z?it-OZF7Y!>8Y z@~!Aj`cC)Ca2_BYz%jjpetD z{279cFE+AtDt{HnW0_QR%gDz>V&tR2WK_p8h|8u-I@X&`JJep@Ug&jrV?*eVCX-H! zjL~a*H_%%Zr--CDg!Y*3Vx*UOH`nV_+K&i30HXVF!)aq zi#Q9r<7b zL<4XkA8ddi0oP_L#0Yj7dUhFF2)B@IjR~HrUxvf|c+8K-{CMoJ&|t=x{{{~17^OlO zYI1Z~ZW}@Yz&3wK+s0pT(L1YGzjM)rXJooxyZtAxcBj4feRsiqt1iD{mGtw43xEE| z)mJ}4-hWVhaPDnyzIof62TSFylh0bVW5=?yrgncg@2OXMGpZ;3k$Op>u26wNXe-e#@6kOx zxG!V>FS9Ri-%g-5%#!%5A-w1!tp7(uJIqrHoPXsIHnlz1_DUNyoA$;DquG;mr<@sx z{-EVGV8BcH;^|(O9rKy#DgdFm^j^_xYyr;ll50&@yw?{f2i|S!&21ys5v7CF#q1jf zp|u~N@g7k}!>(#Qo?xxWv_V|SWIh_B{N83yGwEPK&hU)DP!4+{;{=SP+<=j!5%tG0 zQ-AR&PvKybPQzd`6fs7J@l8aFqcFx@hmaT1pT&eVfW|ls+LnG#jwzWUOqOMP9&$}|4!`k7q;ne|A?;%lf~DBp9zML+x5x#KP? z>f~=OTX6O-F1&O2<|U83H+cEf+Y8kwBY(4!n@7d>Gk&b0SlZB5+y)9hR2hzbQ#^u&|vqwPV~# z_ z3=~V~I;TP{TMeAG;Zeid5NddovurntZdQpN*l%-271k}1$Dpq~3ajw7skNy*G(7MV zZ-uM4$hwNfW^}vbWzXL{w!=RCp?_C3i?yxrz@zE=}dgN{D1nLo@ zlX%=64?$LMP{AN20d3dj6iqL@U?~8 z3T@Rks!i!yawS~xnE1dpJUoouqLo!*ESYH;F}CA+wA5wkP2%{+-KQM?c>Ls$%WE(%#zEdzE|)kMvHd~f zc}e0~iL{@#;MOxwGmWE?A;fH)w|*+?=fv!{Ub|o34vzpUMYBU))d_Ls!MSP?$kk4rvioj}JWU!`Y&U`vsV%irwt`aC|jk8&7^WFnPFCnyKc2^{Ir6B$=L zk0Sn99wmI)Jc@GRd?P%-$-G9&0d!!3ZA7qoyOj*X>(+EI($d>2l`>{d%$+(?kFnA{ z&t3Rfeo9)s^tx~VsMdo=?m}%BZthp&N5yYExwd1P?IXOHr+;_Ox$wjb7A*SP%%gDp zwd@zOe|l2yjH$Wfz#dk?dMtqTC=s8Tv*+58&*{lBjOx^bS~(h3gT)qKYq*tc&6Y}P z3rX862qv0S{8^S&6(dKanKYTsCK75c8Z(p=%TL`%XTa!hV&&XyZO zVj~P9n1##==2M1Zl=A+~c!jLx8G!Za zAHcCIXvcjxi`IjM(#9p{-8Kh53mIW4}{y*B=|V~fX0#iW?w zNydzLCGMyYT`P#LE<&x83)mEjzIN1J$+x!`@@m;dhm%YTk2FahPoS1cCaf|xJ=kMZ z3P$DJa(|iP^+dA`;-?GDh#>G}CP6v1bMyHY4Iv^hK56*qFip}*?~?gP5SF!p!&m{6 zWhq#d?m9?Z^&q(+?GH1c{<;O#JDA81;j{@Zf&n(OcjWQch z+dx02HWqVMo@`K-)X2n*GF?6W16>NU9NSo3dw)GwM-}F7pR?qpo2M+@d*h2YHsSa| z=2!_iUjD&?ttVFy@$LyfnqFTjbgLF%1TwhzIo!o(Y9OM%MUW1fgNB1HU!P(d4SFM3*q zR)2prRMk$h_jydg^dBFZqE6BL_-;vHI8Vh&L90$BZ1qDGA`}V}s#0e>H&|hv@njD7 zll}_p_j9Z&hYf`@6qzIq+GjYOG)*9lox&hSiQM?MJjQecUN=~|9_(+viQfJd@qOKP z^wDW<43j@TA}{?8uE|@i?8W#4;$gNS;eT&z2{N$JvoLR+WYX;ZtGZhwClQl7pCxt@ zub@AKURL)L`_X>Yl^~L8QZqV_2~7{J(>80b60f3H)sN5zs`qpad8|p{S7G801M#PM zpO52JPdI_c86rA0N=DO0G@3BfaG`9?XnV2J-d?V#1-ISm(?yD=?Ud>c`s;5dBY&S9 zmPt7+$g*EhgZUI5Zzi%+vt%}%%w|(bH9s_RgBem05eccPhDZV7QtKoLP1OV#0-3Lx zZY^Pi!cr zPd=91p0p>;WV)0zxw0?0Jh?OZPJi-K7#kwrHT`NB%|YaHv;+UWCMp!7NRk4_d8H}x z5gR2?+7x~V|2F>#Pw`6EFXzh*y9O2wD9j$2?JCrt{R7bL2Td2# zsR`w7j9`EaLDO_0x66fSHKakjku!q?kuwuzUv5fnPHui~dG76;BNxb%HjNA7 z!+CBFKc8RDf67xn9`Q;`_eEpQU;wxTyJW1JvfvYT*NLU4K`d5|*?*EyT}$#=yf^7X zw2-PIfek^y1g*@#41kLKAM(yO0s_Oq(!6>MeKQyi5m*)`D01HLZFkid*-BmyU;zAy zoCly#!l+__f|3sO9=_`Tc;EKxgPcVY=Y#h?AH27hP|yK$5=!G7T#r|8#yXSbGMyo_o&uBL5@JCfM>aj}+s+JfgZ!ZX zadEr6MWG+@J<2@5{fxigzeapaepsQW^Cye_@+#&wex-P~e1C^RPvj^1CyJBh6O@_0 znasC1IxTnmDtw2(OFqdrnVHDZZikBwI)ZfCm*kUv@TUr*Xx`_gh$ac70-JEzniB-U z5D}u4SWVc8D}+RovLVp-{l@k_PN3sp+6rPM>z)hi(T^VlRDg+1qASE!xuKE$8=z)} zM!wj<$yE_=&wn-x!Kx^WYE{OC69Rz^J_Wz@5w7h+Bd?G3-5l=!64%Z~&Ewk3R{i+A zdcDtV_Apg{#LJE6H7F2HYw=3e+o(xgGybZlQOh{n<7N5rs2K`R6u18QE;q(8(T@ZY z^asH*5L}5|Q;Eb|+c?tVsPnJ?Wa*2w7g71erF)m2x_@`u6aPR>4{X~@PFh!ccQu-U znvoBkzPk3;hh9RHYA^ihquLwzpIBgQu@<)SL^pcDoUr!hoYw|RA zVcP{o(v`GjgGnJPv>3$X*1l3-<+!fnyZhra6Q?EnTTdyTTAJQ5tLu#Jv$JQnomZOM z@o4M)rGM2O+ghJ24wfG8*xdb_>~C75t)32;^(VVst#lkW_YJnuMLcOUvYsTJZb^5Q zQ`Jm&OLy0C@nf<##cxPnlf5>7ZR^s?UGck;E3+%|x3%6;d4O1(eI@&0*H^9kOZzKd zb%!b)UENfv*lNoe5Hu57EGooeQT1HF3J}m~gMaRGn}*O*leZZk)F;XiU z6n`aLRPbFCC0tZAIIe>4qA1~_g72az;UX&l@X!%xf#}@c)JTPmMc~#BP(3`ahaepW zS;jcRXyOk+5_#z*r&LZqwMXwhCgkBHmw$3+C3EY+Pd0jwa;r0l4lC^DWZ?h3J_7uDxcf--8NfOw!3X|+swe}Z3`j`a^EZ8RDK}yaN7>!weTyE zw~g0YJ~3DjqHPnyll1T9t_v^A{W$!y@cP{Ikr(vcS+BNr+%R_)x&AW(bdtN(NCjP6zeh{Yci++u_(zXos;Js zjDhOG=&t@<{frfnTK5E5e$Uz}1b;%nx3*#DXT?DO<|dCLm31e?L|h{#8Vq|PTOc6P zNA_*ZddgxLcyh25X#>t1&DJ+UB76(OH+jCgziSdjX;cl7Mlw;v3562QC7fFKfvZR3 zS&-`o?s?<7yJs%E$;7=&?p{B?_W64kZ#em(D{C*3uG-|o9pZ0)ICE8b{D0klvv$a( zXUfy2&g-1^Q_w-1!7~cLUPlvenVH_=3Bf7F{^AwlVsS}eY4FCbJC1T47nwAH#E^Js z!n&hglXgp=OHDz1k-S{N+r2ZgX1aG=K=#=M0(CUEx5jMw63(}=ZpG;7DY1z@_YGA3 zhGZ$B_t_{iN$XbHts^l-m?XT57`6U zwFd+X)-j{GxY11 zyQDF;7i?QuR}`Ft?Dt=L!0_QRiv?K5r3k5p=xMKXin%7u%^dCZ-?m6hY1{5;C$M?#+?UM>t@d*k}zLO z$$SmMqluV<4RXbsR$TdaT)tUbXYB+&f~W8Bu(I}NjB0d6ZK+kq@Gr78_$-TNn4u=3 zNp2-hkSAy7s<$vZ)i32Qv#xc-I+gTTQoEU9ihhO>{Hn(bm}~4b zD8oo+4I`6Pqgldj!w1Oon-IQla()x2_B7elWFu8KiF5_Oc$}&hWmQ#VRhK0{#AX^? zDj-D8f?JeiL6T%i%otI{&;`R|Gu%;K_jueS{%gxwlr>uAsq*9Ur!pnuM4DS7je>uU zf4kr2hyI2U34cjj&^3fe?%a@@h}r6Fyh*gXe_y|~ci3;Oz-WwyzY2xXNDTrtONG;8 zRP*WE__R*nzjA>A!)PEXS+Z6d(-RqmOUnWJ#?w~-n zrUHV)h~ZTTB?v0u}zvpP7)_bGteyYbm@9&o%90vy!a+u7LS*mWu`KS zDGLn43xBFt@asuDs>LJmOX4IEXW~=i&&GGg?eP_^%UW8Scf=$&ajj8Ik!9C zc7Ea`te{u6pcLB!CYQ)Hy0r%ZjQ76g8sA1YB@rsP>gv@>8~mV5ca}F9^U) zDS())2(qkL{|rr&C1^|dU!&PHzX(T&q#+HFmw%g@jBgFL`3)OICk;J-pOFY}cpZk< zga4jA{+l~8@SXyb-fy<=P*7MwikT@ZW~owA78alpisR#1(@ON@BkKH z@@|D09tg;L>U)*_yWmxs*-MOttBsr(7|EVtE000reJ4BE$5;c`b$fyLJJbOBk1(13 zfqy$tx0&M~H^ALzz}-JZE+hj|Knye-`+xC|2gWvh$dQi+Nm?2j`Sb~q8Fl=TGiD(|>cZ zcya#B&U^DKIvm`b?E*sWB&avW@EI=N;0)b}7=fdVKBHumjd5*8 zyAc^8zh{O({kiA>J&w$fpOjF^=zr_0c6L@{v3x$+hXo&3onU7SgzO%VT~$4zs3JVf z`s@XJ6>v4jZnp;}wi_`R-IP36g`b39cTEACo3Gkb<>;-*u(pu=brGSQxK9SE#ru|6 zNhl}olDW}bnu3=czi5pq&>0)-Z^#Wxg6ui8zyQR7h{l*odzCSz7AS;Nm4AtHT9s+` zicolj(EwvcgUPKMH@J~kH^4a%SHHRePP1w_lf#iMoNV>sd|VG6{uVxXSVV5UPjTck zlW&%)td9*5EhHq|`yyIayWYb1dl=EP(b4Fr*|@bE zk44Do!^2~@B0f_)s@`rE9YBolkK(-6kM2JN^WO%{pAVRCM|W=~q9gBbkiz(W`1j2+ zuT-CmB4^CmuEdn~3t|_vJ7#jT#X0Isouc)#qqjyMh*E#ozlf0z-G3SL>q?9oP4br; z0Z$-;`(8Dxt7=4719~*u1|u7oJjxG|zck(L`FvZunr$aWL!)vdG*Wo{+aw}Bh7&~| z)EdWT;?_=3G8;=K<1sZ8i$)O>WeJ<&Y>)A6MmBC_GZ7;b&^eAb0(h5>ju}(iQTq_N zeG{;{!7|V?eY!z#Q-8SSoQ+e8hh+Wm4RiSZ$bJ2L8lukPOUBwu=;;~N$%hc#=ZDeW z*Ww@g@T}f9A8Emo7=ZXl0a{D|Ep8xWR&H!~4-o$>1p4<_S~(^smGGOj^lFxB{Y{(`*Zg6j4EEnSUog+_WbAaNcG#CBoyV z1^g9(%YzGr>jJk3w*}TWtrpe<9xZHYdb;@uVPjyk_G0tCHoprgD1&TwvbP4vALN(i z@5(>iygvWyw%6L;Yok*Tn?7m=5(Pbxi0aW4r}9#|q7(n0y)TcCqRJM&x2n5(->dgd zcXfBtou#*=lYbECm^1_;$|`~a0-6m8gd~IjfKOWB~BzfoFs!loq{O0$4zxVt8Nh+uAxl7%;b?-Uno^$Km>hc22 zCbgvw40D~XL{nh?S z{%ife^1tZc=Rf9G`dtu({m{NM>2a}xinvjR0 zuOKfJv48ZwL2|(On(Z%>yvc}ZmE}%LHb;mrZl$4SLo`qFI%224boim?kN;`Js!3g4 z^}iETM!QiyK zUVrO*!^iks5#A4KnW}no2pdAa(Ap3iau)4hleN47Bm2GR3vpW9Qvt_G>D_L>LyBkw z6aQ77g9dGnL!>))GVHJa>APab@) z=PcH|_phwZL&o8CpUdvPwCmf4o;)&kGJkJ36^&Ye*|*=rTEb~7p|@Wk-vxmF{&M$a zh4Ts-A|bb?^+=B8aVjJOO0RoPvyu?;xNX`#Ew2!?Jb@3BU27qjWrE6FXCD{z3AbPw*Jn(X*fXm< z*)EeZMkL|~zU=tp^5uVA{KWk zm4lnV_+s;~{`_a?TjG-t2TFD#0e>A%H>+(MtxO3sikZSRFb9|emUo?>n?HAc;r@%` zE8ovHozqk3DP=0Wm%GRNX1Qnj+T1O^mF|1o8$BDnFUbu{YZ4U@3oZ8IeHhv#}h(E^5c&EQ`OMe!LP5>2& zsQ4!{zOd9|pURX>XKuIg9B9is-Mr7s?CJfkPnf`Df&DhyAO|RsGLb@b9*{-PJ!|{w zxj7$$|yAcY+7^Qp7!Ksw$0MKGuU5+AHa2=wg`CwWX zx2CP_*27kr)mgTL{Is|9R`>L5V&xjYrZaUVS(fak&h5hoZuOYm?|&{sotpH8MQ#|- zhz}LH3w)R?KiqQ5Mg3&}k(@jGz0^6YHRA{n$8=4xyN#UR+fB~9x2#!qXVU86m6nI* zwJyVVkwjM4eQ9QXWnL!qc=P;6ZAzQjY-jB)V{WF~8h|qYrDvsVB~WGKP@G2K7`x zsN`~`D!?FbQL#fX+65R(y?0%)Ur-nM(YlZVj0X;LI6p_gf?9148OhlO=L4h_&5~H?w|B|po=wxohWqt3z zd4-+{_V1AzR$fM=2vb&SPL>Stw!VcLgNt$omT1o8{T`x1u|OkxlI+m7Lv)@7vr zY*Fp4?9PaMx|n9VsKEcl7uEx_GB2ud?;B+24cJ%5x0_t0pwiBu3~6+^VH@8GU9qq?-H~=-fNS*KnV?qJCFLcpgz_WH6_KxUK-#jlh<8Sf7h%z zKBv>y^8+=cZd)>IWV|KYdyR6o?62KtufBB5eUrNXvyXq-YgR;sW!>NP?Le&(cO{|M zfEsLaFh`IF-JC8AI7^&q=M-myv(tIIQ(@5=r+@Q&K*woS)8+Dj*5+|OXtf4B>|4wp zyneTb(`nTRzk;uY>lsjV^)i`UuukGJ@65U4=6(k!V>cb8%IY)U4{`@Y+5UglV43AS zKPIUIn6)?Ej4vn8-R+>uBbWaN@dS~ZKKrcaigQ0^Nh;7~h|GTlo{R@Ncc9tn(t7J+ z>wj%l5Ek0$M8<dj_@K48uBQN~6AV}J?NdIJHUH;`AJ5EGNeB$KR-CzFYIpu9Fs z5}S_I;F{X%nwoTVAR6bqp;$CO$HQSo;jnZH)pCV?*5y~JSjDjN^1M8&TBjH6wtuv* zEMe=iF}8D|9Ip@#k$9*p#DvaOp}0_8MNAu1wXf<>6mf#@C^38&lk@fzH#UrjMzm$40KQb{H zn>(_WqLYfFkxOc5@(JpHLs7PV+Tt;_wPRA_XLj!*)XY4To;s$f`y-0qUw?CTPC=s> z=~o_r%3FZSuLdfwz;~vHeawBVWcG9WmCTdM?c8=HyGYTcU>X#S%0@T)i2G@dxzV=+ z?_^kyZ@!N~SjI43;8$@Dvihuywbp61I-CLX;5o>o5$7O1*4L`_dW||@66YWz$}{FM zgC-(fS*-ycZuw0)JQ_mK?uK0dtZW%uAJ8a2<1ECz+0nbUIp_*bLK=lgvf^ zB2Jo#MB?l|4I0Hi zd-rACKlIN=Gj6Q;U)}#0L?AF5-49kyB~XY4+40zPh1n*v@pc>g4t_`TG4pToKPx`g za0?X8CZ>sLk~J%v)$?^NrY6f=yVB}s4Sp4?Q7N>3MEJ$vtfryfPQ$d$TDFb+SZe}Z z2b0wv=FYUk?B~)@jDJf*nKo`8cZfUAo#N!&9(-bl14K|p&w#1@`|jC`$P}OX+Qk*6?sr zs^O#{CllGKm}AydD|n3=GT~(7P4+6DoGd(9%H7kuKWzp?t$)@U;Yv6$tie}_GY(K$ zTl{#`Z%<`grIkJ3zq!BX@7TQmO>CL=<>t*_62LFM(Q^u$_L0xXoch2t5Vzps-7@#bA1)j#t)-_@n6K;X76W>_a5!3vhdqe%1;xpCf;m?Y{ z=Dt!Zoos}?QGam{yOG_(ayAcTS!X=Q>Gb3TY~n1XF&`W-C5;TkrP&DU3gU*8)sq5B z)yMrBb%7tRlPQodmB)qr1|?Ryk`bgAyoMa{eSj>-Su!VU5ruFlb&M4ElTd~KLi+wM zJA-7Kv-#QtaRrG|R}h_m$*33i{`q&|-~i|NMPw<)Dt{?`WFW#9WvQ&8!G+_tEV=2! z#Xa4xeROd(yk=JCp^jZWy)X4}qGtl=6)D0`JwmfqDagck}}(QMOan{A?O)>scpVp$Z!Q-~Oj zOJW$g!cj-ctVx+o`l#QdRuPLX47OcBk&B%wr_iOw2K90EDK)Eh6&L!ud~1E1ecODp zL%!p_Q$E(`Ok@^)vMo94EDfR;29-Ga(AS6OnSXJ=AY}&*tV`U+74Q9SdmbMl8;tY$ zqCGIO1%pObTxGkcN3*zykvqto+wvA&`JwASJ?+#4zx%|iJ>8gn{rKHS9)9=;0p^{J zWCYK?l^Id|^GjgJ?e6UvcmJV7_uqf`u=w2=*-UmBNPBCVze$fHs!8hk=H=$Q%p17J zEPo1*XmM|d27v+%>lkz9#=I7_-28uL#p8jNrT%p4j z#Osaf+`JSj;?&hfm<+8ccOd2QxYY*5DFvf&MG-IL8iJF9qQQMC$OWB|?lq3A?OR_H zCkMKTlYR-NyHeUkCu(fN|5+!jUz`MC9O6lO3O?Kv0NxwPN zdyMS?E*p*ikzU1Ddqy(m@n{BW9=%1_GVIqC?_1s(b=2~S?USm18}&EK$I!d2 zdqU-YKT0X44fjv-5|=F2>#8lD8h=a`Sp8`-OWI&QOwVX5zy;1RH42sssr{Nc63fvS zqgY(W!bQZNv(H0|jPdM#_pISr4qDP4B(u2xxnkHTv*5|ISRFx)*m3Wd~VNx9@Gx|GIe5u;=YJcxvksY{q zG_Y+IKMc5cN5EF;>0gJTucpM8sTDBCs=(|>@o=gjGp@sEg&3ShlPPvI50mO>USp{C zkb0nJNTHXAV!@MB))Wh~`-L`ll21cqxt;M`mfKnXXCuO)Txo|kdlNBU9dsSOV#=NO zPE6G%?s#!@-L)UQ{r1gDtACCvh?jmEnrv zMP-%w9)raZylL!$-!uhyz03PsAYW_Dm#AJbdSW~-l+LScxtUm<4}i9nBKsoHJL%lB zZme^=+{{zzUFtX0AE{5O5Bd@ZW z3`Ucgmpioud+?KKlQ)%{t4LvtIIZ8Q;aAD_;OEkOB%)LaA^!p7F?s|~yJw$A4jRB$ zJEFwUBj2&3%c7?2QMjy z$_B2F+N_}NAEc9O#eb4T(+&*dbw-Cy_|HY_w$g1VkC4G&>j`sr|M9Z=q@W|;?D9`o zy@ZLA#GgnZ(vR0bKh9w5Q5Yn#RxR6QWAbg-r8KCh1lGotTCGYMFo-WcH13Jgi;u9M z#G~YcD78Xvu3rd*vCY5>{uBzU?T(bs>oq73FQ~Vx0KdrGasko`y^rDG1?Zxwv1*_7!v>7MNZRXA9!)BTJHT)vbOdj@2 zzEz+IeNDXzzeiaceX1*e(V=j#d(;Jdp)TMXMO>RjkHTy>CefQPJB8V{rsp?T(Pb~X zAN_7zntz95_t1n&vVu8{)zO`~WH>Js?Z3=gSQCuod>F|Z=7IEsK9kSPm@7}_?!mF0Ld~XX zBYC&)CN4{UzfG%^BO4}eOPdVxHaSC_l^}mda8uY!%lS8jXBcwgl7S-6agJ82UFt$E z#eKaOEBZd#mYHHt_I+?6%X?`yaZ@5LOw?70IMaRaFrLi#E=i#aIR<$y(UV-<^+lYK z-hUnBjI>2^Mmn>@93O6O*bkJB%9H1h74p3^i%;+UvM_%Fu73jOF z&xJ`8D!WV$ouM?jr}vxf5Q<_&+(9gPH=RhU!00u3!QM@h&stp$h)Hd;SDAnrt7=Ai z&DEHkYKD1C)tH=WhPjP^!KsFPK@*dcUw@q=RFxXwRKh#mRYo$SmyjtOLbDXsfc?C~ z$dfg-{b?N_!Ady!$?2k-ipVsNMQ_<(a+i|6w{j5|7cR(b#qJrLt0V=f;^YU0#&D208TknUF1t$}l7D~9{X;QLS*Mz&dKiwgsgnQ}nm%vrQ z>vPM%&gc#u$oJ;IS#Wz{b76CYkEVu>p=0P6I);v+W9S$-oVY7ZM-h4rT zQKc3&5oPE;HKIv`=wXx%j>Lv1V!TmoBxQ+vmLFg*#gb0Hf;2Ir_BN2HGMxG&oYAIq z9jbf;i+@r@h`*az{ql#D`^&<}JIE@L>=SYqHs}E%h>?Ejoo(#IR|@9KOh~W?-RdXO zpuh0U{I}%qQ}VV+jf3fk8v0kSr;T&>5KE607o_I$ARiWB>NEgb#IBp-Q`OrL3abGb zr!jL{#Rb_T>Z!zNmF{@|t+CVZ^g2=}aIeFa+jhk5D2i zL(eFK(7+$t&&5mf@ zv3*qZ-B89fVwFj}Se;*3*o@ff%b&SUyEy`)s|V)|{#DED0&4PQgXg<=+tVkkkE_+h z8axN*W&|tDC{=H5L2;vQ;MSY=E9|u#3)m`G#9Y6(nl&gxp`e!3%lp%2TdbqWHQz&%-Y*#H|+xLlC8;y;VUKJAharPkG#ZJDOySm#Y5R` zCI2XY-TOes=mOdW^F)Jp=YjoRco#RlI&uu&<&?AE0M}EgB7YD5{Bv|Cu5Z2X`DfY~ zEzvTn5^b6EyuvaPrAj!N`&B#v+88QK?Dh#4*>*pmW~ydr<3LtaR!h=NOH$j!Ud#MF z-s~8~d$VRwovly$GUKaxVY#xQ6-hPJ#DlWL?VWi>qGz8n<+k?=%q=w4rz?a_lifxe z{5DlHu}<@Mxus7q26E>>q^^7i7ZCL9fS@gp4v zFy18tyg1Rf{hzwJL?8V&1Hx*$EbaM_OZ#Qw`^8TB)Z`L)Yb-UiC9_#e3%`HQEi`yL zkEyS}Xs6$|N@I%7-?~sUC6{ScF|ssKQC=SnD)r>C+)XfBlN7Z=2(5Ce0yCR!Q-xPp z=-78O7Mqh>SXr8CjJ=HiQiB*e7(B1_3;x&wuuDfMDNi?GrAf&STc=FEE}9zMj$n9yqNZ-QdupihO7nuaBQ|JB1BA*W1+gj zQp5b6miDD==BVSR51HY20oj()CU;SCnH6Sc_F`D{9A$;M9wS)Z=oZ;;)Db$TkUE#% z0(j_qUagyA_sfM|L+im=>8vQ&(fc%)PW$Hjf?n~nP5W`h4N^Os&DZf&hk4Lfp9vHQ zOXN3Wu?M>Qm}9kJwpJ7xP!oL0lewjTcFZ4_iRe;_>QTiUMdZadgbcj|1Vkd%3*_Sm z9t|)?W9%>va~m*oB8KZj@){P2xB<}?AmfC#_b#R$L4Q-nnDSGi>`$6jQhgHWd&Nz~ zR#F2JgnPB0^sP^A_b;x23OhfIFcq>ml}edbl{cmu#YvMer3SJP{lQ`srTt=JC8A5$tJA7{|#ofcJUq{-BprMLzTs+9lDiMRsQr06#90 zIQz}$w&CY2-9Cp5`U>{spQv?W@J^BSMkKBFh9a%@M&zv>>?75Nh#G9as4JCP2Mjm7 z(4xJUsEeXJ5UVe>xc3t0Zi?@x36%6}eE`(Ewo_}06;RD!US+PtniA+yqMrJ0ZU>(ZDTn)YM5+m?6GK^IF;oew= z;<;vSRJZ!5L0kWXxU;hFFyzehYodwwja3Kx{U7Rxr3*I)boiRS|W2C1CICV8nBD-)2HTo&Dq))le* zZlG_U>5}=*_3k^Un7gYeM~ zJLq#BY#9~0YN)D!hy_)|H|m!XpP{`vK9LG@!|Cz5l$wALjgTg)2E2O^r9SIPoT8~DjA9smY>pJ3#tZo-@749td0~aM{M8xC7ReZ z@xCge0{qcwSI2RcKL8E2@*$Gkdxjn(RBmj7H5Y({CHtuNHMx zj-t0tS741&o+x6lOvtv9x2e{JdGT< z*>3qKZWz{SKUKf(7QKas#KX$zQFM|Lj|{1d4AEAun$tNz0fO=ENxfg87!9=O7D(nX z7g1KekJOmtayCQTkk#n=IMFwvaeJddKSktuh^)~4lKj3(3r%aY`}CYgYgy`;dEsiY z7sU&U8{t}2^D8&%NQ)v#+7VUN4&C_=5c6nn#$yYERoD>Bc8FUxBiW-fzsO4A%n#cF zpS>}Uabq; zChXaNT$t0f&S6(2TuugC`SwJHG;u$ktu$M=Cdg;9f~QD?pibI0hFd&&N6zkS9+c`H z#kR+_sMRUkTAhVbEwY4Hi9yZyzY_OPg}={kq-SoZ0k8+?>V)&mZ6ruV)H6^ngr?02 zkERyy?ms|;pjWb$oL{#$jmW!E&$)^1{?PS#&l5;`w|zD~qwghOxqXF&^l*NM=nq?g z^*T({1qT220mt;{Ikm5VnAvGE9qcuaOf78B6IE`YrcAVO@YV)^%-#E%flw5bK4wcQ zz$ihq4cvhI+w7>twp7rC5N%u6mPOq`o$`_Q+(B`j!{QgVmhO)CL`+)H5s{K!w0IC* zlH_QGDCd-SthUU%LFU=yUP0V4tcXqOX`Q*J(V6_m+Es#9a&=y14PIp=Uf28(Wb_u; zRWz|i>{W8eGS(Fh105-^7aDQv*ZNWH6Vb>c023o)Ie8TUle*P>Bhq9|EBnXV#wZ$@ zyP(c&I@)P`o>-%GWyrp)LZ{)ub_Br24S^ofqCU$@Fc>RkfPyX^%oXFVO6Pmf{t4ay$iV=o31j`7jI+UIf$peEOEvhU^Eba2% z+M8YS=B1-Voh@*L`h?j>)3~slP-yM-;bM4Dy$JX8rC%Tu;b9e2(P@EBJcQr znbGT_=*2)e^uTcVtw9UNzw#=@2cRA}pj-{1&IekR=wEoSKOgI1PgJ9bjxfUNU2R2$ z8(0M#s}yX(Lm_zKlHq2cJKVnT+klFNVuZFrP)8}p(Sw~)CEwn`Fa^yhj<@leLoBj-#{HcfzyH40`=h)9@Z@

h!9V<+T4 z8DS2)f0QZTzF7`i9-Vn5wk1jmbLp}zTc?SlW|Jw9X)RhdZeAPEjFRfTkT¨QMG) z`i`fH{#<(dg`SD1xtO&dC)B0EHfr51Z0nTRqL!$h)>qYzSS>L(=sWA(Qds7owY!$Z zdJQ@F2%0RVuxv<>D_PJC;5Gq)BUGfKQx}#Hx9}^_qwHqp^a+~btKfRm=@Zms(1H2x z(*zw`+{>p#ryds?nwFU5qphQ1_sfI%S`KStlclS{#->H15H+DP7ag_~!cFY%cPvlg z^E7RDL>aGEM_ULCtjw(_Ym_L$W8Ub|Dnr|N^m9EY2&I5R3D*L<0}EjHpWp=@(861g zd&r{oNmKM=3Zw4b55Wm*0xMme@av^y>u24TYx|<8%izV-!CkbT3nRc z*dTtLV}|v4#-TIxnyhv%D-(C|j89z6C)`;S;grt%2-s^GL0d}0lW7`hS^`dPo6eiA zX~+D}|Gyk*{BoH-BhS|oNOwh9Jz_ zP{8HxyWIhWw;mE6aQ)w`zghca--g|4(d9RnHKSo4hs9wn<=bsMRFiNTl+6aYF)WzC zn^kLCTY^hkYQi{G=B4IE=L5#|EFtqsmI<6nge9SqsN*yZsWyC>vR?+q^6HFElyhXq z15EQjb2ty_)#maW?QoSm4lA!|c|?lFj{t^Qw6W=ED+}+L6K||Ao%jq79fcz~)-9HB z?>2mYQIN(R`TU5=pc4MTCML|W+25GKvs8q=oLT{1FtcZkQ#(0zSB;1t;PdYPQD1th z9xzO#KvFeBx{CYpxcrwEX#^;{lbEg1cfj$O*RLAvI&Yn1Q$FsnwiB|6xm+5&&ISbJ zPmvr5G&uXYo}X@SVXR>sMS58#^f<;xsr52C6HfKH<3w}y64pJ2p1vhJ#c@HlUSVEh z=r3c!op4s!oDuDA(g=zP=FnlC!D}2}UZ%RrMqf{A8@FpNk&sJ&e{)3~Ty>&$8hX@6 zId-`m`80VhX?gE>=UK*dWIlY_vIO7=!fZlBg?Me{Q0J( z1--_AxghF#fBxwCN;qBE7zCS5!5%~3D~lG|r>4fd2E$sI1q2@XM_J9m4~Xeq$OpC}9?#Bu z)Jj@(JVI}dstixdN=MIkA9o!e)~N3NZ66Z`Jciu`gA79LCB4;^T}_%anKZeXTvS?KRdbT}2p@Pn|Ax-lK2&QPM66pKE9*!01DRhN zyUK!gJ=Couv4}>pMaJ5Gj%(DKW+P-v_WVILKCshs>xZ@?{KFiE7!e8uQYvW3tNrE`(wtaNj>?8l^KeRG%zu2PWi_0>eJ6*j^|Hk z#_UfKc|u5?*kXYw-cDVdz2dh=QXEnQg+Id?lckKXBX(#=N`3mOXZsURF+D8@);AXR zN~6?lKbFN#pQ?l34Xvs*YcHW1-}hhnY6`ZNwZyn933ffK;orPb@88-uQ_yUt(uFbS z(H%k{tQThf#cMs#PWw3_;7;6W6V)hz_N+7ViL&fu*)kY_Eaa1=oSwS|r*4aOGSmzqEN!M_WC>I;Jmh27>hmGB^prGa z4$MzxUyAHIMixr4U|6fr%j|0y>MJjGGp#HgA87XFquJwa+Q%t<+HIm7=t=0kBc8?o zC^8(g7e7FwwOtnG zhVRn%jdb~wO<8;)dGE(7=@s32^fbY)p{Hld-kfj}z z%5;7AK~r#)d<^@g2(WJMTlKOGZ2}RKDZ8Co&6RR}B9Dlt`aV(iKHol53V4~gma=nFC750t?TONF%smcPORc=%)H)EH+XHk}V4vOE4 z4MBCz7v^YfmE>7p=zB)sPjn;f%PsJx9+AwKLvQo5(};?@5YFCDZ*>BkEex8B>&_B2 zt_-L4I(oFE=2cz%VLtsj1+>L4?-UfA{iAlWRK^_I>{CC&E_5xaht5qD&_=~|q+#$N z+lp%vy1uU}hm!n;E?JIVQiOicF9S5WBKH(silDe8daax7YESZ`=Y3R!_2 zfxbI7it==se37c4xTPi5@|TN)Xp#XMsi?ypuG30x4RZg+fua?Qvr6eNY$ln@m+54u zt>oC@{f7BmUn8t3`;pSje^r#Ye?SzOlTu)->=bd9%ECBGwk4oAhuj;cW!UA6q3+OB zHGN!-6aZ3NRSg;to#;Gkj#dm6+f^n%=8fKHhaKzW>i!o3;9`q%u!{f?ZBR?WmY44B zC@^(#+AzqG<*xov`VvJ3zw^!Q3vqM!RmHC_Wf>}0sxZd*Q%d&zj(mc*+w3~|y9yx> zX&KL}OI*Jo3;i_V6`AxAWu{{-L%ErBs)Gme{{1q&Mc~ovFKo>pwtbG^lDw~% zAOteLC_>qyiR#&jBJ|C9mGD}6!lBj#{mv{ai%uxo@0S4f7-zqXWi4-Q@y=Fth-8wN z!~1owg64=ZT82E@_Yz42*jU(TW>Oqk0j~(41|my0@ZH+q=FB6!3c`bOiy#qpb-lJy{mrwhoyzDTU%}eVz+jxXeib~rPBkJ zudFHO@RqQuq+hcUOW{~50*%hb=*q@8#&O1~#X;BGU3oEVrZS@(AbgU*T=mrjg2(7To-&Rw*sC6#9T&>1y4kngt7wi)o=0R-gGJn z=?8AD4sbnBEOlXVMJJK&Ws%Z&qeAkINAJ;&q6LD~>JuX(aH+0(|M{A-3>$*a2Fu}& z`5{!1R*+e2hph)(1$PNMEJ8weX&Bd=$xVk!1iyhzq8PL_EP+~xTuj9* zF2?`-|KADuB!sk3BC3y@-8&~j+K?epPN|P5>Mc&x`^uDJ8uLEaC<)G}5<}WqF}q%J zyO^EeeyZ?ZtW)Jlk;z2W+{r?g5)tz;C<3Xy@$M=fO9{RavbjW0 zQMZw_Dz4Bh*c~$71k_Bi9UI;#&$mMP*=Cr;z`LIDz;onV>QvGEQ4I&?hal#xciTt} z-d0)j+sqB|hxyARzMy!L{QMmk*F>FcuASO*=m+mdDIkkwhfqSPKuM-T*&>ELsAx5> zjxkL}A~#A&qVSutntN>0^DiK3g=HY+26}xNmRwSM!;M5NWTTZgQ5OcV>TiNgDAg$l zfsXD9L<`L1e&b4>H=#_1i55IsgHzu_Eg0wfF3X9&cm?Y6t6`d~{*>&9tvemHp*BD|_04(Z^SA!j1Wl%ZfE8mA$&1^`uK$foh?iy^)S{G}nb2AokZK_xs!7;G zvvq#cJ|jE)g+8!dsMfKp9TtCI?Yh=61zIm`fmAc?vM<+-_dQf>ufZuELo{h$IG`Xg zsWVC9uAHizn!#|9DX0*7`eL#$OtO%7SMs4X{`LcKoLz0oR3KtLKKDz0Z`4&Gnlmdj z1IO}hvIvx=w3evlTtJPt@LXD%`|gNb71l&9Iq1dir~(1fg&8->S9nm=a1@G}&>R}U zD6|64eqe=zS11QaF@G>(2Tq=mBzX$UJMG$%FX~2 zi}kejfD&oM2zkT8e93sd<-BS@{&~WDyn%8)mk#Xd(tIO@4h%2G6CG$Aa_qN>w#COy z2^{i}cSN^EIm~DratC(oUS{s?xL*5N60X!T?{YHtB zVAIE7&!PP6e+>DjfxIAELg~A&$xeC={eaNS> z8DD3XF1P>&0twiT*G#%90IC}QYc#w}XL=o0r4!Xga*@Jf<$&SWq|KM_l)uqW{<4?I z-`0y+ExYb8&5ct+?xu5$;f=_d_^>NdIVNldWe_VLBd?b-Cbk2;7Mms`pOA5`a@#Jh z8W-#TS~V=zmnDJ2K0vydZx2A8Vko2$3eTg7m-}6lDfd(D|>v70J8YQYCsiLMC&zU2;BYAEx7g>|7ZRT`KADZD|2Jre0<(=)oG$fQ} z>5elwAPjEuHg4;?hNR_~>`1u!FJ;v32;2&IyiXrZ-!a#Ge+V#!m}2wgrmW`sF|5c? z+3V{U{6O}&c>Bn>GCGksQh^um)1b#jB!osf$?2}GyD%BS9W1} znoQd0(XSZi`V8m#uRUUYboyUCL=!xGpg))2+)l^4rA0m$i`)KMJc1VcR>&~avlsp$ zg6Wo=?_BJ1`)fatL24%~q>S?rL3x{L_bkXJ{pRJ_i|7%~aZ7@GE>OCy2HI9z7%4Ly zEvw7cJrQnM4fO0nevpwkXI$D=W60Dk4DrlGy2U0HcUKX22aCHqiZ`NS90jXii4{)^ z7vE7%nNv)ellQ}-KW{^_;SD+Eg`74(PF*3Vw~$kK2yhwzIeiN`?S`DvKu+@@r^b-e zO~@$@TIsab;52tsO_AvKjWC6cxOV zR4-x`?bgnq+_s*`pg!sEF14M;%>y0H#Kj}1(a%RQ`Qt^ zJUZyWw>UVELY;PwE+8X6!f_5Wm_NP41h~d~N|KJ|pYwP~1osMPO0v;f1(mE8T#wSE z?3KEI6CdFyhS_U#tz3E&gktDBtH2rMF+@|zG7I7i9yHRekg!11YMUxYvA~+|{!-Dj z&)PCY{xpnEyXkJynTotRgl(JOrm=`JnlEu)PkGCa?vVx&WD(bu5KrgID#Oew1K6|5 zkg|pmM%A!K)lf#&h)46q&TlAg@6kQLHq953ucpLbO^LpOCxbVqgom|lF7cHr4wfqZ zDpedVW#J`d0g8XMAe1Y^_LV8dkJ$fk|e5)z50Aj@~e#I33!XZoUN zRzODDAS2xF4Rbz{+$#}?=K75LzS%aYr5zs5NG->ZF7zj1qmdJ-Vv>~#05u%!x#>XU z()YX`Yj8)II`9K7HIkvG%FeKvqY+tt^U>%r5x7wprA(oNrGOkCES?GK7J{O zPi04jYrYOoSkFz#)!ExcSfBaUN*&Q;w7VCMyl0K;&=Co$&dK?wxra=;caR$*3D{2l zgkXYb<*xGqk)F6;#L^T7uyh6y4+(ta56}G&;t`ML)XAtG)wE9G^3GF9pwNGUBt7H< zn>7jUkYs68WYNd=z8)Sfw0f+!hdGgaM(c8vlB^B2@xu^G7opzb68GdYd)8qMgG2kb zhxdFN%zE`ia{0Z>HoSyqA3=R%RP9sXbTmAxX@H_3o5TKv%Ce*ls#x!I9Fb zqZgaZ1b%9P7ZmX0^~E}6V+~wmGo|_-%nfVv^p_Y?ru804#Ri4VB0@Q90>?#BxyHK! zV2MjiXUM)ZX4wAgDy3XV{M*R-H5IqPQf>U z;8TK9dyZlGbqgLsAe}I7L-`)8)TlcCOzyZZ3PsRMU1 z?j(xq*fWr68)#GRyK;WlMeHs;)apuqv=((o=bSDo7%&|Lm=Im@trossZwA{rz2l}9 zS%2(krmsgcy8f&VXDc~MlB=Na!(1;~sr2pCFAmGICg$gQ$U2f(ooU1y>E`!pGMOsH zkdJ9TrTL$9BqEiS?7ZF-vh(ipZo9vyl1pSZNXa0{`!a$o6vPW9WIRVm0LiiEP|T~e z=J>p^ZMS?i37{_76`ci{7lm)axf4C_Hh&z+tYK92E>717T_b8^temvDSdFD?)4_KO z1I-#Vra_>^(5zG(d{L5BloK(;V4QEsOZUYv<$67*n=dP>n~RlI*x-_sVsO% zI=%kq50h8vN#~B^^+9KyLnj9}zeIdj!J%KfuLxh`Vgo`-TS`;xtioG+MdNVW*SfWp zah|-oEd@wD)mzuar>Gxq$|q-NYI|arVmUMH zhpYPngFA{)tH0VcBn(H&~my(Ba)T1`2fDBktertF$35;KQ8dnCY?{3+*4Y3xEK@M+}$vV zYH;vqthP!J8?#Y)JQLXK`N`bRD|p);bZVP<5q9XArIR&jsnkt6n}ct~^%(HJZiPi! zv+$i}-n;(wrkyv1QDY9$_C4xOLfCiQ3|5(LUllJ2ee-ZgwTP~kNn6$d{WbBBmHj)5 zG>Rw6LjRTDP3#zpoCkD-z=kT~adAWb z)u(ysv|P%#`-Q%p-1$gkqcpg(P_tc=OB$elKiK*XwS51W|E}j($LtmAw&&<|oQ!Rn zcA@)-i;2r@xB*h{=Apl??!duqfm2aOTWXCJ%~DO*I19g`JYluRVH+4)&m;;TdKcE`S)&?UwMH7x`CY7g6OAA>s?_n@<{cJM@3)eqOCS5Te{ z_}8~59ZA$fdQ`3orQ#LQd`{=pQtBMG_hNWuJh2XN%g&AzmcCqZ|a=ZTSeF+ zsZltdngZ(g7S2%^tz88xYx4}0m+^p;!0Ag_!l!p-<(BJPxULqTN$SRc66?oz!$~Cp zM{WlV$2Mne;qtY0fYmA2!~BaYh7=Y6%b{@6YnKGY^+;f)Ikb9#({;OgbG9g7qgrTN zW5cXl;5kR(c&LERMG{c?@yzJE=8qwv=E8={zPt0CGOLZ)7IZ zG-`~~IKFrxz5d8tlwLpZVD z-!~;3RhEuzg#+q>h6h!9-XHdcgO{}3ekI1B99moP*P*L?ek=23RCZ?LX@9FTeyV12 z`zm(x=jX9yx8s_*aipJb!q0(iCw{m0YmXkPhjTUN-=0SEJ9*hw@;TsSt=v@|&Nk<= zwz!70tb&?Y&%XR9sph~cN-F}o(l3xAaH%DJ04MyQPnxQ=+TOSwv`!>y&E4{jTSin` zhMu<@H0hSX@x)ruWwQ-xYF3TiOO>aGzuLRu^c&_mnzCsQ!<#NFx{?4agQQNB@2i3| z-ggN)@TB7(3KRSosjBJ2=&m?O(I?wiCN|T$hli(!Ta0B_Y#iKg+R)4)V%lRfPg3)5 zMs4bLzWK~mqcQi%dD7msoD0m_Cb!gWRhizeTpz4t=BPfLbDd$0F;tHjmn6)be3fL{ zB|4PTn2wzf8*BV-82|vU`8>yKd#uizYS(4myEHs-wNu2Cc2FPO4YwkBhjy6<=VDc~ znioEnE`LVLpRU@wMnITPs)sASe)N;SD3J~OF^Y|Qn09gKS|{2bC{z72jAcKic2Dx) zig7VsQ?=j4V>j6fg<_ZV(yU96kmpglf30m+_Q#@5W#6gB)^r*GR|_&Z%Jt7>E>fIy zbC^a-&1Sk!5;)}08;PFV3Eyxu3oaYv_+ImE3(wKqa31Fpj*ljtfS1*SDM!un^uyPK zMI=cO>0O5Ar&w))u=u^5Cg$qGo3?G5F0K11mXe&NLz}1KwyVYw>W6dB*B345~SP?46E{ZhLLo)8zI{p7$`rQdEF8X8(d zyh4(&KA#7NhnIY4aur|j`V9N7yIiv~!+X-;P7=|~vBPBGtFrZ6B%uzNd93=48M$u! z#Q5asBs0%cAC~(oq_1eCkAnV9Ywtl_Pe=;e9!aXa_>ls?fXfK)<)1CwBNVxZnQ>y6 z{PNceNY@tLPgxdMSZ-Dyk%-O4mrH%$2F+kxeZRfT9OhI0axCa{yQCH?&#ReMLCBvy z*1!a`J4+??q&=`AwI=om;iUAmHJy4BsJ8u}{rcK!HRy2tS7Gcszv+RYcq4W((4 zp{5JV_88W^7$7XT%)gdU(Aws@|InLbsIW>dDlYjD=}e>?t=tAg8#|8($`x& zCUqaa^I@BB{!G=eaWII=#a-)YqfkhH8_XHQyN#8=8!#ePe-aHtRd z)?Us8ONmf(=&&&tK7GYZ;O6`@bkuU)Ya;!UN!iJE=W6t1;4Z*l^~t>`|FeDk;iw!& zmjULU0B_mpDnTdw^D#fD^i0X(W_!dpvD`qhX`5GqpU+p6yg_a5nceaJ10py7 zJ0^aP8cS?T)0RzLoif3phKKHWt$um@7(Ne~F9^B}aq?_zP*%en`6xR_(_>~LM#Z&lXShZ5WO-p77 zRUE*ow@QbwXgzOpi24L3l~1VQd-zf9NXRANAfq;<-m0UjQI{YliHFpbK@akDqCQVH zns6gh{u~i%E%)h8oAU$i6)3uxriU~A)LANjKOon1a`k|iH_Yjl+@`yRT6^ZAPis$= z`@!`fh;qL9@RzE71n*~MAga*vq{C|v77M7J*GeJ2vXI#kFpmCw@Z>q+J3}&vJIEjH zv^qE8!F8o7n09^K>)LXL>J-?(sy_aYCjnyzyt3UTSk1U@o^ZVhQMc%=A zMge!ForbRmuHmNjd+QAqj&nvANcE*|$7CZnm$>q0u+chm^|E0^SeXTz{Ib!>s!u?% zME}sI8@r?UlCrJnYmH>n5Q!z9q9p+=tw(jv#qN@teUNR5olV&Cr8Ob(uDX!hB<}Rl z6=H%?L27hbJh<`0S6R0&_*Ut{~{m9+iHYNy;0V3UCAcuWpM+~#le1y(;Um=<{qwRb^k}P zg8g*s*4@e-&FDqa;#UKPuDrQJwHIGJR_>Gn=6|Hxdv2A{-)DGK7?0J{ui68+B#Rek zZi|bZUmM`<>?a%s_S5TS_q3d{$M3rnuhNGlNgtz6etnR6+QCb;^7WV(S>Q!@k7Vg!{=?rA+g)!O0;q>dG@+s^&C;VhiO9 zy%TM%jkv|#RpnyzxW(BfX*c7ZJC4c}p~UCY!TH+@??L`ME~}~DL3(lT47O?mq3DY} ztq)%&8%~~hA9Ae@pMvR_sEeuLshM)4R(;mRzg5NP(ZuSp6f4dF76|1)tm>GUNx((ItD zF`WP5cwCV`?!?hq(o$m*7{3P&kj!a@cM_|GbUu3*c=!8CI0j-Ffx&2Qa^-ebhwe^C zpU<=b;tzXUVPPRfc+Pb~+GjC>TN7GME8nfGi#Izwnl7*;25PA8aTIl9Sz`8cF!U#l z77vaM??ySI+l?05EAQmxPf-kvxGy?d+a`~i4SSZ#U`P*T7N;YCdCcp=d+)im#gtG{ zr}bjyIs(p$Vz@YU#zZ`P6oPq#@_~S{Nby%*ET)b6WH4o^AUu@WM2)r&BpJNO1c@m> z1NeIl4uz-5oEHMz;d?8yS}R|aMs}emK(ix>3EnIRr*_d$exhX)$u=k*nWKl7meO>7 zCHBe(#}rhs(zt;FY%Epze@0>89=DP<67h6Z{3P-=7e-T~Ypk2Z9U>~;@x))+jxO5dCB&vFRAoHc#B{vtTef5~31+;mVUn*HT>K};9>5#;K48VS`A}xJXYW$6 zD%{Ibaa=h2LuKIBJ%3kLKOq~(NmgKbe8yuZ<`Z)n;jqa)20a)cko5h8CS<# zLcxG;%Xhj$n+JP3^)H>RYV)t}3$)z@xH1(UYrj2YE^K&HEY@G{RezhT zu|!Pyym6~Dz6RV?Nq&XFmwQZIo>2PLQh)W`)$h(bEfrIj=ztjap=pybZslTRL&*Ak zZWuW^VI3tYAqRu4(|D${6ZZ9Lh8v7T8A$P)-4|0BZFF<8=eY#+7+B&V!h9?2#R2!N z4AYn(SeQ;@nmk3kKGsjhHn(BzJ58BRb`wr9$t+hV?)Jcol1>=v$SVK+5|!`a*7sx9 z1xH$AJV%Z}Po=WojlR=7^a(jKpDlFV)nY!m_~k#TzUQ^km6m}}3zp9pbt>)S4MehH zBMaSu-mTDwX`y`_GfV#>>9}hY-l%Z~Y_crc{LFsm7S=CMliks{Oud+;bLXBK(co!c zb!-?qvD5=pThF9^sZNe1YHkW$X)-c7*`j6GXsI=esTVvjYPe}js6Blz;y-f(X!$G& zZid7%d|0fmREj!kIQl}_*I)R)qWS9SR&5Ksab+`P6dzmW^2X*kPeH>o+dW3aI%7F< zoOm+p?y1ZurS1q#?R;ED(uBmg);>RYS?DZ;TPK+aqyiRGy3xyX^9Y!q{<+W|UKIYu zKo1H^kVH*6iVGW-9Sn}chsA&X>D;XKH zd@yr0B70V{sA-Y0k%7qAwaHkNtgRiMPgu#6Sfs6tt;yIq|2Y=d;^$!#VV4kRXBXvp zD<;YVV&w*l^N51DBt$_Vb{?*`9D@HB3zYvb(I$%*_JJV;z#vvZK@=o=2RkEuOB5vM z6!7F$^pM(Xs9(!J7)NKdi<~|=;*3(x(iPQ;hwG@ui_=Q^Y&XFLCcPZx8+Ci<;Db+k zijbh+9a46B=ut;H#Ig0W-u@`xK8wzCsX4UE!0xpX2Uaai#S;viBz)BKdKEGkM=~SP z422!xZ*db6zzjBB3Un8R4xJ{WLNP@ee2~z~n26jaBxO$wDN^i$Xl&C{_8`pFr#GYm zos|6Du#M(7T%MUb9akE!5T(RX-M!EvvFalT;UaKdB1mr((50o`A|a7u`zyYXP<+*; z2t%!il&c7Dq=>qq2#tM$!9b1@s|cr}h%%`N3syv~1|q|F3xa72zJ@E3qKu%2j^N3T zyiU)+7wj_WZQU{r!!Zq1?G-n#649y>b8`@ubPzRh5K(jYW*J3U9EBAaMQ$2J(9LAI z%@l&yBZJpx4bY62gvHVL8~4 zm)8*P0my8EZ~Zmm87nDrIeKt8-n;VG1LZ`F<(Ng~1m@*9d&eA82C$r~xc5P_&H;ln zJ|!~&2iGVq1_+O>a{KM+Gob=A!5%Z=u-p(a?hs1uAOr3&EbhP-8>D<&coSRHEn8?@ zTf}%TV!C3bfKGgp-W&PJp5dhCBL1aw-L*fgELwE;iEUa{%j|KAe!ND zf_hAO<9j`cT8roHb`h231GAo>6~|-kpZyxy+0Muq1&Q_9VY%ax0%1ww$-ctU0_?2p zWUPM`GOK6hKLy0i`fnKr4+#8k8HkPTH#-mq2hVSIAfDf4TJyZ{dH+ zz-%0#e^&^8rtrIr`*#@#^cz4hnC(C7dv5=^fVuv3B4{r@k3Z0ww%=h*UJpxMBz-2aRa}2ku|@oK70>S~p7_YWuHs?)lj*I0{&q52PV&<_qPh4BjE3{ zKM(=^fe7e-5h4FSF@qiS2PPnJ{M%SqDu9a{{9EYaehyUs4pZD<(DM-Kukyd+Ef){l zvwQzr2IBd5c;w>Y=JvI??;y;^$<6xj*vihz#rb?g|5w9* z$^ISu**Tt{L>%!laj=BX<3aBK7vsGD8s_~oy3@CF_~)_x+&niICkhfZwS;{9dK@h2 n{}&J}3U)?c$o^^j?;-C82g|=spL>Gn-vFOS13do|;M@NJY46bL delta 111726 zcmZtt19T@%(?1T!wr$(CZQFLT$%fx(W81d5(Z;rI+fFvgKi75t&hy;Qd)_nWbXE8C znXaz-bXE2A%>E`qPAx*5DlmJznglRwd?rr)A30zE3x|LJJdCTGi@C8qJj~CmVx4ox z^%3MR0-~>eKQh?J-L4lWc;I?phPfd~&f8`WskZwMLhuZ52I%#KehE6MF ztzAF286b9mZaW*0Hk3h>W9T!Q)jRHu^lS2zOzwOOHQ48~vNJGwF%pAiEmpedRadL^XZ zL3yem4??GGT(iX+tC7n%ms@|4{P(b5UnVU8-}fU*B>IS!#4?$ADQ%Sx6u9Ar+!{tK z+>{>b<0T81P-j>^j6ND961RIZveHGgw>#bHKEz>^N%$ z%otanI=aqduX&9}AA)?*vK#I`d!|dTSU(nhwc+hVBb&1Y_&4!);tfKr)#f zoVID#%*218y4>K0xWTx$z`!#`I>MFAdp%cCed!15fk)bG&h*f4&5pOPiW9#e@qPu! z);F{d>tjp{c`jzPR)Gy>n#G^+6{pI;!(n1*%Q#ZYhE}3w0Cfe z_v&cL3pWgo35v24Ed0^zes2!$=>`W!C+zMyqDNKyR*%jY58TAK0drTsN|v3+l6r+^ zwjk0dJOek-lm*F4K<0}VfX$*0115E8fc9^^=%E6FFiu`en|7JBnx-Y2Wr;d_g~9Sd zEPxQTi<_c`wiY?i5!XIO8D=>G{JdrV%c=y?3c=vys5lbZYirhSF#?cpfUNKFk2y5$ zbt~yOzhM0W?9VHekiz+~jzpNM`D#1C)V-}<^Jw&NZ6x*H|(9RIG8-UnP z3T#B&n*jr}K@Rcg9g%m`QZd_cjU=qWWGYJhg!?BjPFkgN_+^qxKo!Zsy^OLn@}5ul zrHL!6K|V)vOtx+{jH<~5Iye^NdX+B5BnQNuu?uXYcveh|q_Jd>trvThNk;qJ2bw{{ zUPmOsp?Hz6pp7u07s=NQ0Gc&UrC^sKs2L4iSC%|21;d({q4X7Z5T@-+U-;lJUmC-9 zGD6+faa{PgFybYRgCCZA@DpR0$Np=;CuNU6c3*3#zCCUFV8@`;6};sP?~{cUjZhZ; z8Rk>v9Jv)DoN?kgX&9NB%k!|MfgbK;%*uN1>a0)tgCtMG-Y_3N=ZN3*$x2fwK{Y!=xN zUA(b}kBxq+q(C#NH*9g+W1PR576J(AvAji7ZUeE^GIHZB7;;4 z`OyH%Farq8jA4)#ndP{sJuZ>IeG4WEY8X9=ebwq^1E+o9iq$`X)m2*v5N;C6(c+v| zy#-2nU{yH!#CNWT>_$hP>KL$MQ_3S;1f^wGOew1K?Qd-20qJK#s?(RUGBn)S6wkCY zW}&x;rKP6zh{-~4%;uC3Q(vSwZWP&LzPq>OYwY6k?N7`tSXod0f%7|id?Lf^|SH z6$1njlX?}+0b8DOdXE_lUHS*QF^u|BGxpWX?sZeVH%)=q2TMKJ3K z;foa5+ejMoO2xWhdMM*)Np_H?uypu!DnFZh@8LF_5>u)Pp_-9;xB3?kLuqBIub_K( z`91l)?4`9`O-`zC#Ul3*7p9>_cOnV&e!?u8=d5M513;~kVJ3aampS+=g#i!mA)A>5c8p$L-fJO*c#SP@?Ur4}Xh&tX-aB#& zQ}!KQ;)J^~9j%mUuIaKcm-Zz>z$oucS_v5~ur{D7lCQ;xZ9&at=MytJWZHSR>-MwY zn^S0+UCtMMIKlEcZHIn#NT%ZCIKdOXz^-(#0122l_{Xi79F94t?miBa%HQ0dIr$C_ zRM~~{k-H_5ddY759=!^9l041W=?-+mG1WH3v+dD!s?} zMQjFFm}(5r`Gk8O%sA-QCPIGu+4(~1aA5xXPK%Oxp>oM8(qamRCP=W%hFo47{y90K@3$p?}WH_mFo)-p$6pH1FCtd zX(fpg110-tyaL+D#ITupq=aC@ZrWQit zqT8qgn0$;#I$(0111E$|kzma=3o9>=jecA(HKv>cJYZ2FPkayJP)V$L0oC;a=fMiF zF@5i~QbT1T0L*5wbqOhSaXC9+9P6RSeza=Y7hpAmZZrLhiE5^^{$8Ft8M#zCiQwi` zE_@zOcYI09g)K1-L8a{RQx`-v8Vx?%#bVHE1Y~Tb5cf!`%B&22KbgKyV^{Q4aO4%?@2OU+OZw22BfKVNHgp5uk>z zj!o>vGu_rRiF&#;T@TEAhc1`hq&Y;IESZJQ6QkmZW{G*ScYcQ^a~N5A=enpToYWc8 z!xPmrbr4ffDV|8dH{HRHnyr#dz^WQYb&{MtOmteFeM8DqcPW6<3{x+EvY*iF(*4wn9P-fc>I_q zO1xNnaQLPlK&rL?ll8Dd)U?fA&EPb0YL!dSl_xF05A(}-T z?^it{r>d;DiF)!K$*lvpsrq3Hrj$VslL4Z+>>;9=QDbsbflU(6AIX0_ovX@`_fdF; z3w-Ma&LNK0TyspWC->ZAa{ciM?De@{f0~;SD+EGhxJx)_&#iQFoekB_YcBUjubfFWrF%a>glQQziuqSuu?JQF6#$;Sq<^K`995APv;$N z9NFk_#>~Y5Xb)w3<1<~WjN7lLvJ5S}ef}njw#FulPy3MW=NId#Jh7)m4kgEBcwDKX z#F$n|v+ZA(af1CdRK{I?c{SmF8WmBLIy~?el};l?4hoz+I7nhMk{{};E=zTD`MJE9 zBzgSljrvO^W*u$jOfKHWOAM_)1O8m_0V}J@t~}wKLf1OT-Ls7`S`zkFc_q$2MP}_n zPB-OF>Y;7tg*`B60|0C-$C#74O9P6YXpP99$b?LtxPV9i$HJ&-ZRTd>O2o{?nfQq) zkl2P^l6Z_Homhg%kf@DJ0LT2l9k>#MkOdO?kXjO5QBpyfxwsSIQLjLmxtS6_Q9Zxw zY>8fIjo*}`kqMm#_?ux*w8yCV?!cT#fO+>#SrSpP8onuO;t1CBH)Tug!fy!7Z3e0)z=7!V{?#&tYC`Dk@9Az% zw3J|y;LnWOqu9xIZT{=VxDe@8wMj39m5_j&i=F98L5-1L@9q@x=vexfJvfM*|vlVnaKoDDMyH zi?eH?K(=Qii-@G6Tw@5bgXp}3Ls0e;c-&U3h-wi_~sZMk(LjQp{x7b zi!&3yQ%FgBUDbnxj3uDLIoJmpmucBXsC6_Vq1B>Cl+0h;Aqh&HbKR{ zHGIHvGYWM)qn_a~*m4x-c#qyjSUQ@XZ|sGP0NaV~b$s{)9-cy>5-S-W?X*M{7te^M z<^i92ZW53`r0oNxEou2z^P)d1g|g_ZK~3y=NOI3KA6VWS3fVfl`nA!1R(JK)S1R&>j2XXxq+YUj^%mKITmZmaJrG{-AXoiiLx z0tkT4Llm;G{}gJS07|Pj&{|y{G;%|WoW6kBK^Gp1qlzDzJ~_LWKG$`s9x{(pZP9I* z%L^aC3@&=~;s5Qb69(H7AiG9zTo%U#eA zfh`+jHEzaTV4w9M2B2l;fHFnyzfYCs1Ay0@>Mf2NLm+L49K$F`HD5>9MbCA>rKzlE zvB`nfo%7W5=*Z1F8Tp%<0J2+SeqNd1U-=KubWMR!8+ZP=tdDTG zf+KmUYJoUu_;KV%oBoyz4|M-U>Ff$#2y`z8@y;T0?LR2y4(WipnzWs+rUlaf2Kd9A zq*kz%#)}LYgT#6TQhpLs@g3aDc9(>&Jhk0)`$L{vN!vM^%h&{`cWo_T&@iYZ%*{Pj zSTT0~Tr?sCBydaUsCMI%vcm$5)oExV?rRn-##nFcsJ(VZU`TOoxmW65v=3?9E(YxQt*D#ouKt{3lvcJ4UKsX>PNesVW1XS7enAnhXXlD6$ z0QxS$r-wps7AY9Im3R~oBO0}m!{$F(;vHV1Dk*_7SW``CXtN0aq`&MTgL9>#R=H+< zau{bi!;Hg+M?3ehdbdENmC+3?O(>Mtx2IbMa1&YE2A`EpN3*yqx+T_2X1@D&j&SUQ z<;qdJ@b(^@CL=5{1AY#B=Qgn9CACDHnTV+k2-eH-POnzge8lB*`9O9moNqjwgkOhOX&URg zjZ$xq%2rquz*P2oPf)LG3p06=0q=-E8woup9Im?zcL=!z2M~tRkVVXTG)1d8KY8x0 z$qcMCCj&_ZRi|YGA6NxWPgeL_zU|Tt;SV`g_u2Aj%UM@M5ox6C3@MN0fdW%p8=Rxhb!xTQR6hmja_F7}HP}Jj+)956aIY6^e8hnOX zX#(yq7z0@A(}1V&a0Yqp87eI-v2c1}Z3cY5!l=F)$TYJ`FEu5asoSl0&SjOO zgWp0PYqL)j9Z+#RZ~a4%>k4OrSe?2GjOJeI|Kwh58z2W6ncfsJMXY6DJer zBL?dI%4VWA(YWL6Qwpq7X_vl4%w85Olgxj+MxQ=n`*a{Gw?49QfP1UcASPPA^GiX` zz*A1Nq|$b4QnZOl8fZw-05Fshl~-HLh{6y`Z0xQK)j>do}>Hc!0_vY@_GLI59nLy zzW|m$7YS^fBN*Fh14G^be7iWIy)J5Agn+DZV+`6b&m$3qA4@9AP2y;tE%$Hjq@hnn z%bWUyU4Cb05&*`NikWsT_V0{-E9Kf?I>W%zdFc&sqXSt!g0pQVTfLCqGV8UWN+Q*@ zJ^W>Y#La;4)Qmm4aBC8rT#gB$@Ik0001~?M&-MT#@s@%Uo}Gx9gNTVpg;C<4>|Bs? z0q~v8GyUJ}d{Nupah(I{^ZSS;V4DJzdE7%;*eFjvg;=x^A-u!g>bW<1kxa{^w5GlK z{jr1egJg{-eLd9#%4FnjQ}pg-W0N$3|2;iBi?4&PlgreE&4h7EVKwr0x3|%CoW_8g zNdcw-QVx}3ccT7r=K@9MnT&vFT?#o*S*QU0M9WkSk2!~>q=|R z=y7Q~BG?>Vo|;)%0t(ZZkEN!pdm>>NcI&z{kOa^oH&@vqGAWcDsikn3&Ys>gZ1q&- ztv|SdLV%DGWKl8MgIh=DLW`woFzSf=$Q+n4vKnez9WzVk?Et!Kbx8vc5iH;{^b2p+ zMiH+C#{0cWYkQYQS{N7mMq22Ry-JvmlrOKc@Pv(-MmIl*e4Pho@`e!oWUGbR6KuVp zdEAN!?Fao!IPkJ@?Bg*U4)tWa$As;Wj}!jy0GZ$LF1x97-H z8`A#v*+$=QNdq`gDkcsd)H|FF9-A_gY^XzD{Wh)X?4@O$o8lfG&&s|T(9nU63j2)L zpTsoMXwC8+Qsgjp0%fpw7Q|{dafdY|ys3~-NpV`nVBcUGOxlDL%qw8b30hqRM^eK$ z4$7y&5|Y9k<*}u>IX4UoZ;`(Zc9YSa280DZZN{i)Q9bO_wt28G1_#p(H?V3AaVw#)%-dd;CWz=1V6UUf$8 z>J>d7q1ja_DmF&|8o?$hBI9tXu}gZquJtZW8=iBRG(lQ1amt~fwo$Y9L-;cK*xUrB zK29Zs-3L%4)55$_B+%Oh4QD)Q!U7xIDSWl`{PPvksn~$1*NsSB3LVCPW^i-qucfPh z%pY9~(GiM)2#;7TS4fH)dmb3MbL)F&{oN*gkd1$0V|RQX&9;t!pRKlqi^4eeq81#gI`ub?ETR z?#wDUEIXQkM&n4Hq>9ql_MQb*xHm8FjJm^9ii^ufCRU~@VE!nK8Pm2Hve$b806;6H zm1`xmZgs&=M+t%@S_FV+FM;1sd?Xl?Mi0j8+-}KtqCSj zrchnQL=uV7BK?_6E^nUPFBpyf)h#nV!0RC1-E={~ps4c?wo>n{vnlDSC5_v7=Rc3R z<3%M;IZ@9-Np-r=W7f~UC>bylsSSdm0h8U4hj^?a6xh9vK|Ew*Ve^jig>9x_PrqN&cBAbCX06y-h zkiOjV+-@OC3WdRYFm>aI8BD|0>qO@;+Pf9)YhzZh5%3U+b5y~l`tB{$IU`h*irsA9 zS;$b~ik{)YKnBMr0x&W(sH)V3{zOnVeQHPtENY!=P?jhkljDb4%7*a=Y~o(dTx zu_Pq6bz3sFIlwek|7m?D|3Y=nv%DgKxj<;m)8V4*LN+`ZZW%B^7d8U}$X+F6%eQUX zE~^$Rt2D^pa!0lO6$7S!el=lSM_d3DHY=h;c2UWK`GnwUSgfvbr{^dci%}AtnkQX9U2rEI zwPk`!{L5oZ%f-aS!o<$Z!a~o)M#;oPNlnBk?`S4w>}F0S#>2wI%)#_OJrcus<*1my zgS4ZG4Ll4VAETP5lR2ZJiH)k8J);53cc6Y1AAXji{qkbwF-VPKpXIST0>R2!5*lUG5|ev_NdOv`jd4Gt?NeF^3PiP&tp zYr99azM$ddRc|3HJ+*B`f{Q|f)fl<-B=vHUtaKZBHTz-C-JA-+&(2bkayrm26>IL~ ztcpDp00};=rOga2d9$`^c{wIm)55V_E6z$;6dUHtBpb0lrd@|yq)-Y^eli#U#RMt9 zN1TsFOVb#4shLc>uBP9;3RgP9WHVSC2;NKG+h(Q`e^#j0Tv)A+v=pK<<^t#8t%v6X zJ`%^v)CB*5!o_ALQ!1ZPBP^#bnL(<+R)i}7;H6L@Rfwqt7Fx|t8XFT~4mR&S7s&VK zKX?QsPJ4$nQ@&y+R7#8(9Na_(f8ut)oHtL*@%@eiQe*-67&tqe55kQii{kA!5n^x_ z<{$1^9$`xguTU_QPYdx4DdJ;uY1GScsxvWKSTF9`@j$M4m70PjFg-1G3@Q$6g`i&) z04Y`v@!hDfn28zcp1A5C5`#=`dAtFU{!x6$#dIexDplEerownj-}{MfqwazgNie*! zW-S<@z>qvKa$VHo01{>xAf<7F2dZYOB;GMHD)ZC+oq#)_ zp1>dGd3HTeH~E8scPZh0VF*r8MB>0HfJ6Eb_|1x;j9qy1OceGCCMxveA@SZ{&Osb% z2SJe`uyMdFz*RUEN*r+DVd|N1=gRgNE6yfWCLF@PSi?woShT$x3(WS+V3zfRNPHm? zcg=$~VNRLs@=U_=c6LJMs%}FLO3BW6W_6B<{+<&d0xCA(=;#y5w3{2upy-Pz0Int& zA8@s%5E-bonYB9Lzy|neql`6XHKb^=Jh)j7piH1_4&;(Nb>I3PrHrnH{S9Lup$Mb0 z_EA`lE1L!2+L~c)wWuWJ(7PYFcD-hgCJT@I)F9?y%azKZnFi53O@BBmiDfo2l{xb^ zqIuwSt|jnMfS~$^@KmABX6*k206ZH{fs0S()xhBJ{K5T)n*6iD%2!L1*MwS#zdqk5 zQC$t^<|hY_MvqoYpU;o>>b`F7oW5*)TV4;JoA?wnDQi`KvwK``yb;$~B z7iV3oOnX=#cRZ*voFsJcy*WRh%~Q8HkI_%#wuDmJ5q#n#l zcbh&TqxBN?(*xHa9l!+CAYH%!*CIWPN&9r~xh8DZzGNL-(?Y`b2CzV4^#-8eQT^Y- zEHFsUDK1@+UgohRwB;ur$R?7wksSKh&^`+pE5{n{`enTCs zNDlD9*`0^Z6@HN`DMf>VgYL^Cf`jerA%cVN+aZEO>;oc(LmorQUs&xy%Kw-9?;@R; zgjLM!Y(MZ{8WJ{v6pu~9A}VGPMg@obi@1PE4DAdTOjOMHf9oM(V@S%_Bs8L8=3!+E zsWRmM(=Z_KnEYbCi$_TA!?LRil`HeY)>n!u5Ok9+rIfY2e?hBr4eAvVRVppEriR5H2hnb%@A3D``7*2*G`(?P_=# z+oO%FrTd3D`-0UOjK0?N?LMPfRIjL1(=4x1(JyY3aZNs=0ZgiARI+JW*Uaht+j2#- zsoq}crg>U3r(fJ5-dpI0xbl+#SF zVbM2lkKni5)9ABV!TTLtZLGUO;2sRm5B>k=g6IFA3-BMd6KIj(%Nf^8xCX2pT9*7I{7&%kwjvj~` z^c=ZTs*yg3JM-pyJ`EWnud1;d9 z8*o#NN9d3H6~B#czsKiERyyDM_trKoLjn%L+I7wIt=h@sqqp71#luIhTMJv{HCRDC zQDg7c4gKMdPp8*m49ww)7e!{NvT8vw7*cw_*AHvSTu6e3|gc_TcTMzeDgA*RDNZQ2h1r1#00q z@c!5{N_gfAz_*l3S-IWP_#p`R?M>Q^fAe(*3^?xS1}YPkgolSQcQE_U7lf%7G@vjb z%v^~(z?1-H=Ks6zHBT6~>1RR`e}03ZpBKN8b*91+-9x!4KsjM~C zn{BWmuk6`OWn;5NRJ;{y%*~zjNBseo^)3$%u>OOC&=l0DMrN!g<$(DMAkdT{HnlO4 z_$y!ILn#iWvbdemW)7N%MTA8L@j;Q>>_hP2gdht2)50`b z+YtoK91M@D#KOeN$;y#fE=3Rc-rUN9 zP(URBDGMR9?Q{j}pzQ}fs#YvS=q9%-fXw;pl<9a3v};rb`m3+gtkfN~ia(9ovXSq&$UbkI$x*kvXrjNoglgI>9EvRU-`du`*mQIkScM;3@dE1#UrqOnH zS@21d9-&Cb#%8nCAN5&3R}%xQ51hrGDPybCbv_+D`^^%zYRP2UPG7#m6l~+sdHu&a z7W-xJT84A2;d(%JAx* z&qvFgrIkNvD5Jr*K3LP9YuLxRUS}`jL1CLuaI<}-N0a(Lx1x6!IZ@R8q^c&kYRjFk zu1GdZ#V%~RzC5j*j@LtsKcuTlr*&Ho{+uF~@`djrj@Q+&A9ur_ zKz&IbmNSj>J7ysnP3o_3h2#y1Y_nNf6vAF)>)q^cZP^hBlq1fPE0uON7dWt{$8IfR)d zOENWDT(QI(b6G*Zu-3_cMXnb5V7d5$*+Qs{O5S%;NGa?Fpsg31ey}VgB8L(bgJJ~Z zd$eMKZ6jtq=WySbF7kIm(@AFUJZjl9(@d02o%~e)uV0 zSq|a_qAo%?gY^S3yX;g92R2)5KQGbx&l|M-Y*R=~=#onF8?$^d^o(^zju@rLxmCd% zVnwO+%;`+*saZzU8(c-eRFTdJb;eXd`Pp`PK#4H!DcOnL$+i{3qUiCQn-yv{9DlM! z8R4vT##CYY%&S|(8!>$z1YkjN`2o%*n4xIZN-*(A2-CVf?WlrqMtcE_KT{!y!?r#6 zs2osS%tq*iK<}Re>zg}OIG=w!FLDCzM)4pb&sZQn6KT!-fWjlYDW7VyCNeo=-URl> zs#9@QFn)q}GR>~9a9qKA>cWHQ1)hzlFN`TvTLioKT$aobXdsSR2spGk@pgmP2kA^< z_*GM6K69SOdxH7Edh+Oo{Qx*QK67sup2xN3c0<<({vfXwx|W~0bYsv*7T zhw*~dhyQ3UX_;%DVQ)h5!qtcD#D7EB6z=xll3o_QF8B)g3~^A}F6lUxcw}@#tPZRx zHWUF=o_4ySJ_xj91H1%3VBY*o5a;M;LQj@ws5W8jgSx~on;_a_9D-^}c^5cOjoVc} zSnX#XJlrswg8V=?r94DAt>2)ke+kHRt8eEGpBS86vv0~h3%AUC%m~ha&J3RlpP)X( zz47o%E1wXS%lk&>%sp7!gbIkBFKC`>>md5Z5QGRQ;^r~V0Iu_N%R%2r?1LTVAIR6h zK2Z5#eA5Y34f8eg80RY=yiaVKu-|y>mA}Lst==rX@ZKo+fqf%$f_*I+(qJE1=O4O9$^5ZOP%KEXb4JF6Uu zyXR?V09J3zfKHf?U;|CVJpKm=x7w22x!nA(BF_9TSx4co;#13q-*0>$nOgyV3fCgt z{sNMQbM7aaO`vb=osb_A^S({8z9~G`oNC3-`6de2AKV}Fgs)kj&!jo?f;m0iFcu><{8Q|?BO_;9@koa)Zi?Lcd3RVo%JG#tXG~8lZ%z3`K`j6M- zz`tWgC)plAVt(W=TX=)T#CMg12%{+*|MkEaHrTo3n&))0Yz4?8wss=ug-=gOpz z@4B6?p%G4r=qe-t0Oew~VS0P`p(aKoI(4$UqfLW$l@=XE3tuAwy{wwj#OaMVa` z-R9@t3XiRB5x-)*EztwbKvAN*NLU;n(DGlkn)d!|{3M1I<4*oHT#08|6ChKcSKhwpINWc>#%?DrCR{iF+!> z7Tu|HxDQ^ooL-;rxFfX}2t{f-YbHNdv)xPk9h%qq+`aA4XjNz`b4pDu21mCyS%}sf zxF%8z#%sNP2Fd&*nG@P;a`kfo*HIk5_6L68ztVvS8^&=BM7s@^9SZZ1$^^|OR;aQ8 zJb{-0}q3rs@3{k#6v)P1m=E{DOA5hWqXDdA#5 z+`=ocbvf5nx3(L7-^zFEaG)iS%F7YaZ|uPZhY*@`@dnnFJ?h}LvRC!A)cZ^;1vBbrW<|KG8qLrt$n?APQ~D>rx30e*@rmi;}x94<0d z2$Is}{pfqI8I)8EOibLtQ@5VwQ?|IxxPcZ{-YA94VT(pWi{)*?#T-Gi6|H9M>EJ(ZkFp~dLHxixV-fQxchssE;-FCJiIT;xR#l>_jN+N0kDk@(%G-%f(pmsxR;_4gC z>-NsKf5&D&Aaw-kNV-vc9Aikj?;b8lxI~hSJOQEq!0S68&tRJ2U6VGh7JOtU_1ZKi zuG(;Tw==7PV93bGNK4<@SVs=$CS)W z9{Ww2RS*TM$nR)-i2+;@xn{B#{}{v#d-&M{>Yu3pJ(jPqhCR?}g#J5T?yQ4H!lFa7 zS4X`H3dY^*+?>zo8X}0NsI{;mlC5=D6`G+PTJ7@wWL+06Am6`1HS{^a6IUi9gSK6%07J@f;es?S3CP*tHH1=$C90 z!oB(GtR-Y2%RQHx(w`7sC`LvnWL?NB{HCPL9JNKbzr-`pZQu+OtJDm1=Nj?(iTIBx zgb_8T0*amt(1!8edRhN#WfD0$q+Pg|K5+{)j-yMur*2-I55;)PB~EKF0Xp=|xc^9A z`#9$R2Q>i(uzM?_xtuNkg&K)0<~Tfr)F=4`i;Q+Xf7D`OVWFX6AtkE| z(x%Ow&Mj5fz!J&QSFik?pT0nVaL6>mAFdA;^MICv`u=Na6ii9}zfScdwP2w;R02F1 zv);;hu2>N%5C3Zd;X%Nmr<5j2lcP-IH$qkQMD+9|IGvNif4R7Xz>Q*;eeBps^u`%; z_t$ZdmGbdYa>z&w0liq5aasABl5H~>3+YKPan5`I_YtN92j`%aZM|-Z^eXxnzMNRO z|Fb5Ht6Km3mm(`P(eDfqm%6AYbCQx|a>!yS0hPFj@O>iRf0RfZz%ThBQQ1C(75phc z_T(nLs|ZDij!sBM4{_8;iR`OU>C#tONla$u<1h5s0+x~?S>ELzV8nz*2)G~74}H*p z8zw3_Q~$3esO0Ja?b#{4##zkm+Xdw5YjC~e@TYYcPee^gNl!0M@qiph51_86p`@Y5 zRMnbS;v{C5)Em?YfvAATw<5oKRqw-VnBsBMqpY1i{3tUcV5sP*^f>j50pkbqula7a zBEPMt=j!oaOLXF-tl;3l?9$c8p<6IJ;bV04b(3r!ijK|8&GYp{q`<7;@7bMm;c#*+ zfwsWgK>}d5Uit1G^7yzEZalVh;{|%fE)C%1;-{dkpfF|Z{_%19PW^d9u$O8K9!hjI zqA&UQ54@MK@&9X{OwZ{ycdtIDe+V$)ED{(`?$O=%3rXnIl$jm$z!Rtmm5nD`QE3(M zG?iIuOcXpl?UMTX{`B=N1onZA0c6VtEx>#E40mVhMLPtAUiwksRQmo=Y(LDmZ}V|u zo3jn3BusCUv!wCA_K)8aobWSriHZ2Kw2gW+>yL zQoz}ZN_gzfjqjehXu^RF@0Cp=8wH{MYlsc3U^k=;1tA(YwSQSO+RBPAFP|7kXg)2- zw;%vwlHRG#5-g|mGt{zZoOSMUG%LV_W(pgv3epCd zjNRlf08P`T*dw}f(C^G1A3ruX^*sBTl%-1EEVd5fbskQ(7H0{4HHWI>cPDex?qua_ z@D9{&{lT59h;~|p3;B56N|a;B7aQAi^nerl#B+oTc$ezhVXPI6F|7F+9*B-E9LoB3 z3ja>&@$>TnJ8pOE9m&4fiu0EY5%oXC#==B43^2)|N6idYJ)(&lIK|Y*kn4$wq_~Ut zNqXp3)zz@zg~inQZ$mPMx_r$F+yMQ=3_Suq>P^d(+c1%RXJIi=SCFtGhG0{ggE=*+ zYFC(Dh%@Zp5mSStEP^%_R#rbSZr!_l)lzriz;y&k^?>tZ6Cd=bja_+)l&tDm{Xt4& zDRwtFmM{_- zL8>`;Tua~aRi4G6kgN(Lyn56q&dI2UerDvABIb`8n<}3cvu%xll`LGiDyAx zvsi3cPe0a`Jr;denpoLWH>^5s1H+isrexXPZEw*8Aa1YUF;El{a`*Pz?P1X#&d6n1 zhkR=c_f^KfR*Ah|__wUYq@cNJaGChIdJ}}buL2}#^<-;z^lTPFdF5nVx4cN^+#<&8 z8kbK@d092@&Dm^D!XvjBZn=hlgFe^n*IeP9&>C>!LBQo|`De6CN!FUc7~yH0==nwt zpQLsS0Ix^Dl^tFPvzH*yKIOIYgpM2jQsJby4r4{MZn7@bsP)+YZVSi`*$&;oG}T%yJ5hZ0&GoiFhUDyjY)U`s9|n)=1I!O!7+MM#TJrnbGayqvlGB6QWjrJd zU>PTW|DiXBb^$?P0S;gcVL6p{VG4OKS~o)!H%_REZ5A_oZhvsv%9v~rkxMa?a2>66 zh}Z6zOxCnWQL{#D8)ft*@!eP?_5Cw-HKwcT{--JNdx9aOa|(3V($}-aa|nJ@`NehY zfbU7#*YPuTHi3@2(_PD4Cy085x)>MNY1grT-{NNqdO%p9?wmZPl=tjJqmXtK&7L!K z(gFnV6tyUM%X-1)cg`6wu{aiLj7~+X|HZD4;3U^@rabr|#n=MiM$%Nnie;-QlRN-! zjUG#dY_f!V^cV@h9K8R)pR4&1VD|;EHy16^q=wn&EU7h_u2lizfL;R9g_|#Y;<2e! zg}vZev9F_o)5KnYhLxJ`HSq6L5H&(xAxl&CD#!0Qjr-jkI{sk}Jr_AQe=vx>O~YT9 z%qXR3eRw}d*nFp*WKETum}8@OubK}25p17Dl}+V3TbuY<$FO;+Ij%Qnk;MxTWW&^e z$%M)T*M**!%`67Kbp3FEyMyAlB>VY$UQ)-CbJMtJ1Oz~)7XZuDL$1e$!#x^Kd*XN3vH$=|oi}B5(+A0URNws`3@Xs4E z-MV2=xk?@H(Pc+Ax-m@6wE>Exj_-bTsr|I;wwWI5!`8(gB}hATTpiFMFsE158pp3H z4sX`$(@CjMFL1G@#)8JC_2f*j{^xuHlhf@Fa&*?FL0E6%Z0p-g32O9L>z(6kveFg&S zQc;EcSA*c+kcTW;?pp;-v{I%U2yN6NOOKb~-pNz{BxBaN1q zQ=KmY`P>W=130A4F812t3bgp%wnfXCQ=IBplM`Pj)I=uJu6N5ldemjE^~b)9^IfDS zmF!fQl2T8wC}F5H{uapckuX3Y&2=Lj`{TaNW_M(Th(bD@>aV_)J*oH6B#D{ZbM8yHl23U^n68Qq1wyqhUsc+u|Kr?c@ zFg`^;=3dS7WVG`rSP$t8?B=56ToH!e()c!h#9KtQ^%|b>4jOJ8vS&Fq_6mX#n|Y`= zB3%gO{+?IL;MiFRMBrd#8L1mnvP`qWG9F=tV*!Kr6RjW~L~?Y)&6enxwPC}JmgrPw zmXTl?0(g}1lC1I-MlbVv=4-an=xkCuAC5BSKBOrZ>{H`VYRWFMA6D_SnR@Kvt>{AKEb6YN>e}*XVRm(gL7fsU$gYkWCY@Z@#@My>XpKrM@G4zf z*TGcYv^<691sjPm*YaypczN9NYwGJMG2xuj#8P*OYvh=J%I}bdm}Y-V;z9M)=&I_P zy6C;B_0c?DQB&)yqyNJbhmGu?Y;RTNM)oN$>gzVjCG4M7Q+T^vQdwVL-NB}5eiUGz z!Vg!>r<$J;FU;JJ0>-p1ztvrS8Q30v>AZlS$wV2NUxvw~`6-P1wKb<#Rko$4Yd+op zHETZ2-oSx>KF?*qCnH1iNob+xG@s`ZTDVWNM04@^;F9LkTv#&sG#4LB)?B82>yjID zxw6~kN^MUv`_{+TmRcvau;zA8M+M&Iwfp+D6Uzj_w(Myn9rrvW;d`)z-Dmh%{BUkBW?{ z3N=>NuNymQSiwR2y0Uv;!zO*lV3YV@!}z|&7JNr{0q-8m_f^36RlxT(HZoS*7irh{ zq`EdEmDl4QUn|#17BjBbhLm7^d4h9siFWah3MO8XvI%!9YsrF?$BOjX2IE~hWjSTM z3(qNk-eu>Rb*wA#l2O5wO>Awf%ZX-JsGPEwENxy&iBsTa>EurRmIhs~oD`6^ssEwsCn{Y41Umlw4ae&P%n>FtrBNldc zHg&BNKj!_mWNEAxI~Pl0W!IM2q4u-I?CvwR1Ot+86D7ftb@xz`66T*hS3iJ# z$ji?8>W93am(t%b(RIX(Xe~X!=F)0aQklXc1k*PEeQ9 z4BD<-Mg?>Nok@#Xi(02%t6s0(MZckq@-yl_vQRS3!Ln8TME7U)ZOXwGH`2|ton3Ew zm?GFq3p{^KXVYqVrozK^kcp6Gm5$!$L@~`ZFbLkQ+ zmmW7Kl8&r^li56W5xata{es=hwz8Mlhf|;0$&V@JSZb7JBl;?Byl4lYNAjq|eyb5?*N~y_ABRAASoIlFr5*<_*#<(l#uAFG>H9zLdT4 z06AM8E*H!7@*+fag?t^BhvoN_WM!M8;ymZ+R_WI0*6QxlJ+9lUw;Fzi*Z3E{xpQCE zzIQvRb4BN>&i2mr>U-qDV~AG|BwWRaz7fj;Jl?Bt#XUr?Fe}boGRtBm>;#;dS!@A2 zi=Brv`E$0K{YH!ZLG~zr&e9uf4`Q}SJ}u_K(r~F!sJFuVjO4 zksY!}&XUKhC?Du% z=$_ZTuQ%%#>Mz%K=s(3>O^IQWVX|SSVWnY%;Zv*4nFQx0w(~puyu@h;p62`fHN?IfRTN))#VAZUK z7D%zrZ|UQedr=fCPteE8qd2w~G4gqOE4xJ6qqkB!?vX}fpMR8xDB1G!^p?Dx8I;@U zFN&FY*~ij7@+4e;1&=8ux;hHVx6p&~S?pqZSgIm2e{H-P*YSz$Ud#)%ERTI5tGEq5 z5m!-xyo)ZQ)1^Pt$9NvEpkK1N%4u{h<+F?E1G*c}ih;T_^;vokdsdpSv`TSoJxR)M zadabDI+Jzr^m8^-Uaj9Fy-7=Hn_{MS<@*u+Ht9img0feCH-*i^GvH#noX%30(fPVM zBC_6B_T_oozL6nzbR+)i0Z3CX&n=lWQ$rI3$h%4s=To+R@L#)Pf6Xpp8 zSI~Ss$4|m6_9Cs<*Ge69n$FHJ>kui=cTS<{>fLm+dK#UnUQaog53W!z!ia0>eOgIt z+49Z{X)#iN$v5%bK0!A|+NK+$=18s5n^KLm>fpy0XFG!>(%-N=h?NrEBh;$AK{ZsW zUah`{tNS?2us73e+_3J(QGS9wjFY!ee&>l&n>t2bjN{!-lhu1vKQq%j^$eOwkJ4`p zI%+gz<1vo1mvOuoQj;`AT_QJi&c}IJiL(&F*;f2-(O2p+I(7X7`+nd&6ek7Q<1JvDW*dB$;FZsV2nL)Hd~ejZ@&q5z9%~WHM4kv z^JC|KZVa*8`3aSlPH^t~EAD*P>2PDhoW;)K`ud?m;$&C8OO}V{dp;=GKJ3nI>@c;MBopowA|9J#nCV?6E5#Cji`ZPlSd#UudlGqw*~Js~6+8E3&n(_o zOr^y zet>8jN|jPSARj2 zr1vx(nFObbnVfOkhXf7_Ckf(~S-2GwJX@r1)XyZlP<qisk}xPFW{owGQOd(n7o9eZ&1nJ)bd zJiV^I4unqPI5DbgYig@R34VM!#-D8XUBje3%N7-15-Y=HsVn#3Z?Nm+huvp?-?V1q z?q8gIdl`&)!6n6um+hI<@OJ7- z{3-s+o?RQ)OnT>R_lGA_U!`P_(&na-_dv=ZT49e=u;Ps1h?93ZRF_*fBvHX6NN3q3 z#B*}M)Feq1=)4=7cGFbF%S)VpjGdyOAf#Jf?0r=PbUiC};0?AWJd13@Q;kBQx$Dv( zX*f--psqVvmng3@0}1#A6|y5e45&a!Ppv-yT@a<3+JGqe15&(Ll!|L=<97cie&oUT zao$;X_g}jBlACTDJZ9veg$pW|@mud5RJwiWv<=mL@9pCnxN6*(Rrid49JjuIh+^sa z)SvNP5Ggs)72BO)&M$yyjdq+F8g}A3(iYkDdmr7PsyW>#fgvvw>cj(Z(weg0~wb#IVFU1{XHkktq($@~n zC388_fE(bIF+{?`T(}{B#LvBl4$9G_ejJrIbV1+eff5Ji`z|G=xMTZvDt#yHR)X{# zDzbxw3R{;J@g>A>g6o@jCgqtVvxc-#orkEkV;TXI1Zb8+SMNgH`9E*-CP>HmKiif! z@gRo@_XPJ6N4SLud+9_thWnh{2}Di+F1NtT`L@OID5#=LyxJTUi4m&?q zUE#pUp#zJy<{9%5rBWB1wGf@MXpJ6Nn>K2&=j6Pyu8f#}I5`i(ceYj?!`9T~(aoBw zO26L(;}&BD#c_}Bud1vu^mwd562~do#b3tS1l4 z{EIwxAWrcf7CD2%clqUy@(gy|=& z3O4u>Av+Qk0=6KJAVNs##C7;?ls1idWiT$8><>Or9|P`>k_PoBNt7(hj~SvU4FoH! zB4^t#Jv{co&&n6@xBuXdykA`Y<`mkuI>537eT(9M0~sti3|$L)JmNTwLdh^#D6eC3$8>}DtWYn?6y_n3%2{-CbD zt2=qr$hw1-uKr~%pqez(qO1iBLP?ywxv8nhmmjYMjn~u+tOn>a5(c{fItB+VO1yNg zmIR8Pe&p|)AHMz0NAWJtH-9*M=10%%dunoY>()!_rtSUd{$n$nA9!?)=j{)^+&b}p z`9069x^@5{W&HW$ydRKKhMO{qQ$j(Tj(;!*VG7kU6+Yn7m{W7B?x@pQ>Wk*^(b62D zREue9Fa+-1(kb{YDNeOWZ;zK#_Bm8ee~_7|uLmd61^VlHU4Pw7==;km=|=TeB4~bX zn6^UWhZz%$g%R#5{|)+V?{xny+9L0N3T=&db>vyiDOeoCjiPEUUc&HROw~dsM0aDF zq-%I!yQ=#5U?+J7g~)YwF-R$Zn3_3%iglAktRO|Q)gsN0Gj57w6xZVfBtE^9UWvE% z3wGc^+d_wNCmsZjWUsAUV5`0z`2JV2gvGQ-IqP&;GR<4vM=3bLPf~rR)d{A53JL^k z#q*lLy;II&ij!)4npquEB}#OUVg>Q>?RguTm%jAWlB&^OQ<>kfV)pgxyzTj4{^GVb zn`chHvo&?%$ZO~EUBQP}zI^8$PkJ9Gw=J1==kn#&_5-uFO`q~;|L8C8-JAO7aS%ET z9H2u)>4d?F+coAy^*Z$tb&Gm`P!&dVqqYCyITJ9BRFRVer@~1{1!I4ccH#RNdFDkj}hT%N-uSP`f;7{pnkgU zOr4QPuqb$C|1us-#_e{)aI>nN20q_R0Oh=ED^&@upkIRHb93wHBbq=NaF6X(Z6%?$ zG$vF#o=^*N;Mgz0*tQ80o`JZ^sPe@O&cI}2_j2;s1Fyf{o*Ia!Jj?AkH}cuklVH>v zx|;!b)c5BLKL?H-pSGNTT?j+}G}ND6|#U=aapFe{^+ zMy-V62td@mA1s3!MybiT*Uk2$!i#d(%k7v%Fw5DCP`{nGdF4R^M0T{mG}$(DP` z2i-fzEWd9rmKWTAbNY>L+@h~pyZ@<2wvDOxlYhLJS~xj%<_`z%+x97q+8RJ}KH$7u z)CZ5ruwI@U=iw=s!;zAxtzk_AR}~QoqF&7z#i&T9PK-rxdNiO@4F(wJ1y}?Z$b?&m z-aVxMCacM0m%cwqHQBE@gojGDZ)j+!HOU-nHFMLY>GEuUbGo%az9r{wc}30<`CY#u zS#*et(>5WFi!s8Zt$cPtq8Ey-n3Yd27*xtejewjHe0T~~B#@pXD>KBigKQIRFPg72 zMM4gygOx%rPVJ--iQd}RNi`S63EN*Em=d@tur$C2{PbGDPhS`4AcbvZ=^za(v8zXk z8OCEw2Yt+cXpEWi=XGOcFnG6sQAIjKTVsO`qw*ax_*jB z;XCk_*Ea7v_i^(1rjExSdg&z?fNO#8{K9icLvw9^*M1H2@JnRg0bE9{u!3OTp=$FX z!KXtqhPh~tgx&Id$6wJH(ESv`)x+T?ycB}RkSl{?T8fxg*KoS)3jGX?#%N|hy?4S$ zq+K$(joGj+D(qpkQ$eBe=9VYwO* z7y<-;22c!D5R}GXyNV*w{*)sj4kP3G_c!ySqEHewwWvd-V53>U4wglfxoQ4PVd%~V zPPD-;x`SLcx#qHWaXs+m3VkZQ-N&BjWAOD|$QCY6MQFs;MT-rYjASPhM9c~zrUg05 zG81=}!!z%v=b&-UE}$prYw0(AjLv+lrx*Hvmwg$$3zugE$ZphvY6tppsegojMEtn= zMTOv~z)R2)du{8t^=(LrX}df9xpZGr8$F>>o};_krr?WhdSe;HDL zXSlwtaK5i6rSaK5;%gnylhT|9+drMAysk{&lB{!?qEPnFaUrsshvqdk;dp#tbtat4 zMgj=Vc)Y!=rMKze*>i6={_5T@o9C>&Cw1n74^n6D`^k#t>+W7XbJnWCBU-Oswt4HF zOP}K+r4P;C^x-F)W@p9Tkd>*b2gWy zn2Z7|{vxAqB@bLckpT|i3{xoe1dN@6G{q^Q8Vwpur3TA%jG)oCOeu-d%FP%T=W=;b zBgQO@)O5%yF!eMAG>E37vcD`@$w;J<4Gd_T!cV6x`tfgiGKpS7T=1QCALcxN4Tj?X zpQP1@BJdXdT@BO-;Q15pAehL-Qb@So)udhUJ_jwUK@SHza_jU{H6c6b;j~s z;(y$~)z1wsoi37M>UU!RreMA$S|!mjt|`>X=FnH-!;NW5Zl^-zb>ak4fRT-h-D+!J z%G2$Xri~sf8MZ-;*0KY=qfH8brLNw-GfTTDIS(hBGM@4WWMFkMWm`BR0F!C3lsS$W zWPA)ubGQ2Mxc2HLjWxJt_naN)u=M)+uG<&?=yCE?{OCq(3Y8II9_d4}v&CK%(Rz zVja)bVUc%|I*4l!p&9d&a;CO4kMbvE156L;N+vC2!nW(sp+j8Lp+o1MJ9G%XF&BNt z58>&8oJqF2o@;@0To5^#2)oH7ILVPo+lVc8;zkHh8*LwY0l#4JBrNlFEJeRXnlOnC zVI5^|6e>oG!{^R( zZ;@rGYuj)=u4_xpgfTwfjgZg;alC|Fc-9W=a_cN${;ECG_=XvOB%uu7#K@Tut-$u> zdWAkqzRodKU&XcR2Zh(gz4|F#k%cBao;2#$DKG0^t6ythyBxgAYrKn7oDPBKAibXqV;k&t8iG7>V->$Vb8QEb)h}#<3F*WN z5RYP;s)c4q+*OT#{M-B|JlD!&z5`=hX;k-0pQv1`idFhqcS~W|jZN@W+V)0IICxw3zd9n%$fND%b>`(69@uN2Zpu$oaX zD_4(tIeO)!iS4|blcmn{r|6^rHKrzf^Sorb?h?aQIL76FbDn&TmSQN$f*)Kza5VEq=u47c;l{H?^#Q|`bLOAz5q=bEVA_MLOkf?a$1k$`Qfqg zv6->?j^z&V`tU8nT*rLnF5xakEcQFNU~!+QKiA>#n9)9cN=s2rZWP8XFB&zF9E^)9 zb>$-DS9TSDr9aW6)F+B`3u52g^%8)hWqA5EoK{5 zrgn9P@hNL$>Q>*jGbN`RV!D#$WYw!=*^tS>p2`%R^0#c@9Ns>S%+4h^9W=52ov^3SmW3*{X<+dSa$C^y+*b66 z@B;ULthS45*A8gEM@MtN&NWw2<=S}f1mF13bwYFQ?dDqZ5$<8v zBRQM#Gi0;zp38&0D6D(+Fi#86+e#A53HB>V=x&7hh$pIYktpxbYdBaog}O43SyDFod&tkK1_kZ>hzHHy0aNi-XR_%LW%YRRvb9}|qpAjJ^;0@7V#v8Xmmiimj>N3Z1^((LYJOz5lsX zYRk2iTWbak`{L)v|J8@Ck$(!9fv(F@&<5Kl3Q<{>B*gJ_Af1k=f@F!JBUd-8rLo*d z%V}xE8P<5m|A)D%L#{~!C^Kjc%wUrZr;nC>*G>miK(BrIne8dQ@Z95E*}3QqADQzpYA_x-syP*#bbdO!e`mkQYJ>7sVJZce3AtbE)2Xfm=dj2D0gsJa|?;J9@ zm-xNpKblUzXcY8a**9|^pF2ig?rx-V58m266J&T5L|+C{bLh9*sg#74-`fUV!piV% zRn_TZzl!v+q%?hu6{U~4(eyEY7*3b%`)GQ#CA11J2^?pETU?Jep_dU~j%?J3K0&9D zU|Mjp6>&nk)@%O+3U)&h<**);Fwy6$9l zK^QH9(N0$xukNEx2>M9cl$}`1lh}xhY|pWOJm$Jc^Ob0BFm5~Lk zT28at&31yyA?yP4mch>(Y{OqxaCc|Z?%fSfPY-C-! zGs$#sdaW{y*h>*{%U%+Z`Gx8V^$i$Gb%Z*?&6V;+T3^>h?izl7p>~^Vr6wyxkP}*s zYYZ914V7%ULHnW0`4D-S+bC_6H*?QPqDkB?SA{^lfv zrvk`!xpbL}#CqbwR)xi}Roz?)h*u2~l5t9b0IT{efVbMSm-o zu;3345L90X@_vcb^Jf8rM<9?s!eYEpFRO5^1g_C)@i(uyob+s_c>L~;{DiA-J}V&a zs7<&kYgu!9KRBBy5|=eWl!)dfY2F3!$NoS~EzUP$299BW;~`v#uc`2d2I47L*qs{x zQfi{mdG@ROe$@Cg?%cP-`M1sv}I&Wt1EsNKcpR*@~EYplLd9nOMm)4W-SG&dh(h zQ#Gn(3wD6urU4by1%nRSWqWu6y1#eF@Puqvrkj-ssUXDW@ppuvS($Fqv$6E-z7k7F zE-!2z`uX$@4>WVr&0J{uX!Dy_d>Q?f;a;W#Dy+hPKx3f0M-OnM^T4?jC~O(O6qMC+ zwuQz((;#~MSa=t?Pz1ecH-_EVt9!kXKqSKRI`35iN`&7M*x`EJ#RUSv2(fZ)V~l4^ zzz$CoCORhQw0cH|UZMpL zI{H$7w(25Lwd6DE3Ag0pTz8!6Q0zq=p`2{om<}A1S>|rIXoekvM~{y3D|s5`u;{T? zM^%-`s3s6RqG@;)u6YX&f1y3K5P z1k-VY8JAh6BI_CMJ#9y5U*xbA#_$^sYX7g{#P_42P;WPu$zJ9q)A>tJK*7RDny0WJ zFbW|w$R@UWzJ1T*$-^eUl$((j_T z;dD=K*;UVyA2z>A7f&IhNq^FJ^SJI)F=0pCm+R$ZP@vLK1o zp2VzqinQKdz={v1woy-FRBPkLfpe*zQ|V|2o*`1wzgHT!?N_|3x&CF4e)KfQ0m zXB)-YMvSQHpf;2b2jk$z#D!fri(_?|95>c=WKEV9zEg&Xly zJQufO5qIF1ZBIY?O8RP$c%&naN^vQ5+b$OwS4u_G==Vy)mCz>~H)+U5FPT}8n>~e9 zb1b!m(12;_v>g&%N_%=2tFm1v|LBkj9;Lg}v`0pOtuv+-wkBFko_7Joz=fXLD5_F=4hw=ORjrUCW;ryY)X2k;6meoEzuC@0r zyJk#PRddOZ?F(;td;S8py14@4flgM#UTtdviNb(?Blb_%I6BDuHY;5T-YHs`l+)=o zjJM;=I=d6L!stQHaKl&GRQ0ngNu0})LMoj!(I?6~9`2pB($(a8_tE5Wovmt3*Nf<4 z4_Y-Ko>VTsCKVC1ty{nSno9d5M0^DxtrvY@JLB$&{6zVn%=;+}{17)+^F!p}{78AB z`{%-c3AdyoVswz*?V`gQC)t>s^u*+ZrTBEIi=D$#%B1DTmcP+YruyglTl}0~i(5|Y z%%%WNE3=#?O^41bQk*?>=;SkLWSS10J#^?y`e;agfey=(T@5d{)2#eCwyfo=7f!k_W(uvqVLBaxmak^k4A6KPw$SO=O?SA7Oyrh3#K|j3i_3nL; ziM-!3_qN;k(r<^;F%KamEu^sp{>YA(qPS6N#)An|VK zd}mB7r{D2kr*7PxdaGCpcvA<#R_h3aw55xPXuwK@u#yDTF}TNnG^CS&W`_gQe?*M~ zN8@q3G!5&zW|$t20%Jxrg;PCF1&ccIIGi4jg&e?t4vuJ=rE*?X<$#emju4Lqt{%zd zKI{W@TB@xQwF6JtX*pY+d!3xK19xnnk|CcC+bOo&dZYff&gpQI?X*zH>)V%4$*1g! z(-gDG;IU9wvMULH4F=ofMYvrlTm(_Nb`Jh?yD2K`vVc~h zC6rJC(_l6jNtkrLDw6P|4?JB~6iLVhkp%r~sBJm4Ahy@e%Sm`3@a16BT>e0v$Mgp- zks(8<<9KK(v@FyAe;K()L{DXuL6!Xj!(E2VYeLF>|rMQ9C*3zgSLX$5EU&N=r^2-^31 zoypvL?#;b-=ALgk-}jyW_g&vTvV>a@w-jFx?ehiEKHP$+b)F5L z27TJd@ykww1zYcx_f019aq;bNP z;GW#LZ@z}|1$7gO^MDkE@J25MwCxbYp)_+Ly17rcSwYO$pE??9Fk!Ty@j}FYRfzEX zADs(PgQ-Ld3$eOTCDmxmP2eW(Cix?d!A!&nyvT8XB1emog6G2$l59(o%+Z`kV^cYR z_tFUn(7**aMdko9g@C*Zh8ct#Qo?NBw3t4_OdISft8xvNjGCDef0B(989;OhSAw17r6 zmQ1665(LJXK2!&XlfOt%zh?qz~AH+5vZ3$y% z=vjOjVf#F&ez zN~#`S;8csMSQSHM**QMQy2SQ)gGT4!B>vx8Ds7x zZw1M`!L3c<7boXc)jGAO7IH<%9UDI!VKiDr;qcv)4we?zm zkH)UoHfvvLw5E7DZl4#j-cC7woO_`W^pwV7bd{#X=-@8+!j8}*b}YtI_@D?eZiiP$ zgJQJY?^@$piX%i$l_asP>A7-Wy0&JL!E{ezNFK)cC2<4+-#cpj3ER=AMZPFmu$q&* z;B-f;a4;4=Q9hu2b2*#E5ee+0AQ$g{{T-w+FiS$l#bucR*{&?ykOkQw{%x1wD+W`c z(wgKR?f?hlTq6fLjky1W6}b@c`w8HXBf&XNBQXF17UKpE>67S!9GtX)%q@a8?KNN< za_M8ou-)37F2L*y$G5xgUA7lVL(~RFa8T_j?9dQ*r=Gm)G8Ha}_+m)>pMc+gZwG>9 zc~Z->gF7}5!4P}bLaA+j?m2q?_2Z21Vap#z8VW&w|D-G zLGxzc^mKXAT~kK9c+dD%<84iiBvaDO7hKfb9%_GJtaI&{{>x6Dz2~AK;BaNks2o=_ zs&#tPMYkZ1z87&cj{4(#v6aq$lYmw9BwNQ0XW7PhM;ylErLo%BrLhI^b#ZQ}uOZM7 zxjb-rq(x{^uhLrrS4Y}}x#~^&&4HUEUGWc;L%~Dgzxcikei{C_=xDqr9!{_|dW}7R zZPXq1a(x0ji#=5Icjk|u~tfd%tzJNGkwR8%?E`a>x4WI*@1WX(>f+j!% z?51I+1sEDygxL!aRsqCK0v2W!Kv)Hj?VXsz$e{uJ)gXkw7Z9Ke2p5m6KhN30T(PiW zoRLF&kc)h7rx!eIBxn?WS6Q_$q}of$kmrN^;bQ1FsIt-u8o9&g5SAA_+qrPdb(`Cr z{NG-Cbq>r-`N7hce!67oOYH94-`6&+eREO%tNi;v0YBO^<$?Wg9o&ZzYZH2o(Uu*D5_$2*=y3yDeQ3N$CwZYkR8@ohVpsrLmDci*z z(so4&DEBHKLs~1nMxU?Wsna?@D#lqpfXrF9qMx;n+CUwpP9g(Q*JbM5Z;YXzp40@Q zpGu?X{*rP!jz%4SfI(ZBKn|hKC_i&KY4%$alVmnSKq^9 z%m_rYBQ9nOOmQ|!kWo`=MjfWIb#`6sN_d4d$(|g$5#GSg6tA~iV_org+4p>h!^eEb z?5~1fgpU!)3&i8;2o~3|5iGlWf0$JJ2Zq8rbu1jNj<83^u9T*#H>$_DPXlMbDa`l&hXHjDsdHOvh|BSDHvPF;BPxYFb!wOfqO;Q8hWtK_(Gc zlLQ=akDD6qakDVXY~t|1r39k8*h=o<5Aa9$9-hG@YT{|Wn6Mnt(|obZiiB)rSmMd( z!-tDA6Z&FF+Q*&9^%XjjBHYkT!VCCwPR{U5X-OS_*2PE}y97fr2l~RAhs@l2=X*=q z-o2~!M>X4WiI$-hT6!`wqRm7t?Gk(xSyk z&isgGlbv9kiusXE)xx%jQ{#3ouZ!jodxTJs{;#+Gr*{HNjRkU<52t_Fh>0yt7b2V(jQ2rAM-Kd3>C>4+YxJ!u*Eml-zI#i%W;uvC* zD$n4xa|s@1;~*ZWHIlrOtjZK9mFPpM7@?GZD_}7~Ba*a%Db-gewxq}9y2l&s$ogyV z4FO>&i3cNY$h9|kI9v~)heyzb1rc+n1Z}>AM2A2L$?V|ht9Mub_2tjb zb6nbb&-D-H4#A1akg4~rcpgj*KGO-}NZBi(GXGKjYa_9F_f6oDdoR0b6Vf6+M8cJS z>^oEts7|ph0zF(49uRiI3&Kw-KUSYtg@{_Ic7(gaOc?h^Wh9;{5>#5zV-oPgwC!VP zj*`~f!0z!mOfbbzG<+D4Y3*$Thh)g~b~+Z%tfN5K!D142R3s*zv0Ok~cUR9Dk~KqrJrfGQ3U*T^)M+451)I7c2E@8XuGtCW#EBNy zw8lA}S)8_e*>cA=OituE0a-|fXhkWL)1v^nIaRAxfi#kng|%i`U2R>a9*1a=TEkk+ zk2BG>u3ztq+_m)b8POqwCJj4qfPUh^_BojmS6V-jMzmh{;5W07L|mGmNPmuhBm!gi z=R2*k%-Yp*%D!A4ZgXN$xTsn#x2wyt@?d+6Ji?yJUnSoppOOCV*ZP-LS6p0namD2o z>#8?Y^MgwU_iL;kA&)2--tUT%EBejmuP?d2Uu*Ts>O&RBOa5B+RfQQ0aQF*OqYh2#uO>wuT9lTsZi)(5Ewxx*cx0JWU5h@ z!ztB7tp$l%3-+qDAW>^L4T{yrt%1LZHF@ld1iN8rI57?L_A|7B! zq8bK&lI_zw2vll337H2ogEJk0lL5FOup!V9=m{_ZXs28lih+KA!%1AXA%QsOD8-Pu zd(Wvo;{=J6x@M(-zq%KUa>lg>DM-W;5|eYhf8>NdbNnQCo*+`veJn(>o78q7<{yM$T`p?!2RG>CeY@E}1jo`whq> z{M*AV8-JX;20pca`nJgr-JW{|$>bVDs0M;O_ zErxMzWjKZD?Kg(>8zbRwWr${D3Yv{6%#|=$h$P&H%y{8{+r77tRJFl9)wiBa%fj|F zN!UQWvZk}MllkJnfwO+5{Olpjm*}49e`1^~yw-_wt_{IW<)(?WuKo{unxn-6nBAS) zmI@8A&>+|+GBrMhzD0r-m++Cu%gJq41&+j=>_lseB|Ats@}zS&+QTsn%W(DLNQO;u z7f4r0x6n&}q(k(_9KVSJWn4L*60+P7u~BVOo0(?rD!y5~omtL4F7D%g&%DodbD#15 z;Jz08mL#z>%|MRhMNvQ-q9CMr&gOZJW|$N!*(@tbh%gMEmS9*M43}j}Vs-)D5m|;r z5lRKTRgxf9u;IdW)}eu3PEjz0d?E@oQcZ|M5E-0*frQ5i$dPivpoC(SML3<9%@i@g zsj#B{xn$(5KAH+ECR`-x1RCN`lR3ilxfyR{h6S^DwgP8JqjiW>7vK${L1>`K(uM*5h2}>i_<9O-A$JP))oTAdr`3x3Xf>DCuH7McMn_>9v!|ypvFXVuHJ&+NMzN6M z5Qz&324oAraL?S;Nx;GGSizMe+n@~m216WA6%ebZ3Uy@u=!}!qOp57dy2U>Sk0scD z_t?`37!(p^VknvrX}YX9#`*Ek$pfw|5;mlRDX=cJAq7*xU_?u;Gl9tv&xF8%OcIVF zo{3Ge^GIb8e4{2L{)s~T6B39r3s^0)fO$1{ffh#zrPf73lw2;_d$}mNTomJmO?vTY?J``QnMI7F_yPiUe~NDFP`NJPIKdAZ0)L@JgDu^Duc zP2PZ{*uHXGF{8jz{e=O^GtqH{0y2>wBsrsGSR(df-vR2WL7Uo^J{rH{&HsFVVO!aZ zix>Py=T$dczG^5_{>b=iuDfdY<{h~T_>;NU41Hu{?oqgP*|G^w{2=#1!M6AW@k;>Q z?)X@m^TFqgUB<`sr@oW)X&=X6mD_+AX1M_#GY*E1hI&FwLa;SEU?JNAZ~;|PHAPE? zh&>S^wuMZr3Yl0Ha<5e(6Csd)OUV(qhly1o6RQGkf9(=fmb|FbX@{sonHVlIK!5W1 z5Ei-!wlG2`LvTT8L#QLv6=Fg(tn~+oY@Y5kO%LS$FAWRnTZV<%$FN{LN$hg0ZyPG( zgCs4p_l2bJ6fri=+lyXqA*}`sUhB0s0-PyIg2YQSXOtr^J_>Zn@`#LolTg~R7A92o zqLqC}y!z=Shg+YTU`U-+b4D$CmMMR9^Y8`Z2Hl=p1n<3h-X#yeog zU}uPvj25dUFex;TohROZV9c}T1#Sr40{kM!s?+H!*ej&z%3OLTJ5!pgNWmDxn@DBY z$tbb$ql69k-f~Gi30imouR@5CG(4QEn?UYiVM(LQ@g-B40X(31gHIrbc;FGFBk=yw z*kwj-ElDXFcJD}r97SSkRE*GxX zhaBgY(=C0#VZ9hy9M>T89h2F~;&tqGB7?^!e29+}I-tC=2YrqIVH@xJ^@kvE+ZPWU z$)9+6>*{;AZo6moR_Fs24=v6AIk*3d?}1{VzWvtQzx(xDZ=xTxIzO8!K@_y8VsM@F zkYZe5Tx5(jn8rj$0>%^lf0VMKLHGmgn$6y*)s)aXDAhlsgA zOp_@soIEH2Lvo~6X{E#@2niF82S)kCopX{x1#n8ipzFS9mjtmz9!bYEfuyI~M`1H2}!eqh*sYntKj*qMlf%785g@Ew4!@(|?G}|{C>?Ay5RQe*6|T|W7#mV+#piq3|00H% zJJs-A!cgtN^y0mPJ-7P2=wWr$qf=hXe>MM|JAU2%bgty3Ws5d#Ub^I&{A?&(I3Dx| z{D%Bpn;trIe;NI={rg}4&Aada29I#}AkyqZR57VHoC|Awz+gZblVL7nCNr~`#SAB! zf+&cp&lFXP7Jy7df|A6_bpjAd6F%UBrRM+b!S>pE|8&eg0}V$M(Rmhy>%?+>jQsJ| z$i3fjV!Mr&Qwwq48}6EHq4*JHys=s%=`Jk`ao(cKf4*+yh(`m(Jx^aeyK(x}7hihm zg;(3fO!-soqlP|PF|x6BVeVbr3ynR;=`E-i2GBw0Hm1}r9V(6yhb5<$&MaLaJ|y0q z+~j+)`d73n1|y;1fU(u@2iYi`0--SoNTC_R3~`1uL!P0`P}_tyu}x}|+mtr7v%IrH z$6&)`f4{-WX;QO%L-`Gri^~=#S0;Zb{aAUp^3m!?25gj`SDvZZSh=nI*X4oAf+bp7 zXp|Ki$wH&j^$xvbaHFiyNERAJ7;<10XQv4jDMex;iE=+9_b-az5LIconncmVjp3&7 zHQ~+S17S`N$HVi(N5V`zyfzHOuOZs_5nqs)f39QW!wsD7WPpRn(E|p+NyA;+>_Eni z^=f7Yfc`Uz<`%)Cn4f3dsaE2Ee^PL=KXH7Rz)Y;a9FKrVGVJ(5nL+sU8WNWbxl1f9 zVNwq=oWN&>6Zot!aU8=Wt{dJ3r*GwxRp`|1vFyPrP=&t*pHWr7TUQn26g564by%t* zf8?u5DylNAgSrO6#z89w!9h4aouoppy-Qdw;r238?YMz|K!Q|@!9OCA)QJMt$O&+AR|BJ0VixS+UAOZ{-)<4u+h3bzb|{NxuV@PdYNB`Vd35URe|@(f z_yAlKo3n7{u#3tv)DjmeU5lgYV)G@*GJyfAVsVP7yBRF~F8gOS=A;*YL8D&JgavHW?oKacIXg z&0MRJ-woTS5E#5=*0-EgQcgD4V02>*e*&X#v4%HmYmGNt@O>)iP7&biHiH9CkVQNAUHlk;sMw-iJXkU1(6Mrjz~|0i6|+t zci_MkQWN4q@uxRgC7u3G>JiVU#GP3TBkTZb((tDifr0KSyHSpFF4rPeN zB^jy`Er{JImZmaP04>ngA?J?D{uwHP7P``psuatmELA6sqDD$n!Bp5RTqVu|v*2uD zwz!PC1>6Fc3(Le?f27r5HN2O;k6$C)FaCsjO#FfL67{t78nu((BE3QVS~^6%Cw)nM zES;rJN!93fq!1O5Dyed*UTUHoNn{->kYN$4WD1p7F;1Gp1_fp*odCBfqC;_C;C;lL z#l1rILY7rz41779Mty@m`_uc=R823Sx?bW1Atg$-C`uF!e__f++Om>_Ocny0<#(YmFek?E1KE! z(`_;O%fsEAd%3t~0%P^n0`T+v++TF3;-U1HFXwM&%5!($IRA>JaE%)?##p?ah&wE% zsE{*mVat?ge;*g@#Cbl(yQH`%L$U&J%S6dER0J7T;fW5kNwxV5u0p3E?uBKo+fe;Bq{hGIBblr_OJC?9R}F(E1! zArCgiR|#n?L)Gy^g$uP|^hnO(#|dNQ%k+`v7;Cyd$(qC8Alzsz=WgW}3omoK^&QsV zxwB%WY*td0YK2y*S6DUn5USp~MYvaZjDA#k7CZ-^lQ${bsU6&I?YGSP+y~-u=D7Z; zb&5M9f5v2zm!*)U!MSiWGNhBG<*{v4(sah6Oo10tyq?mq8>jKK3Y3((tLJ^E9&0HT zabFej)l^{nI7v3krL=hkGfA3Z&NWw<_nVR_F^Cs2Ib6bg8)jaUK2_r;w;0{{?T-HF zFY4GdfuHlNC`khHQ6$4Ok$xY$jioGPvy66Te@VKQc-`cM1aDean&oYlnI+l)eRVDkhp@LQw18Zn?7kJi@ z5=|1AFWrgpnQ)3DHkn|)d8dg}L8dse!Gcy2C#I2bJ-Z#8_MM(ZOrr3(Q!OnaWY?fS ze=KAzp?{4>_oU7w%YOro&TB>k{@^zLjO~a|zN%AAC<*v#&rxL49;LLNgPqg>Jz*gp z?X5u9JhmewxP`|x#n6tD$zwZe2_Q%4Il6^UxO*)xqaG)~9o&ITL3C}TtqyMG z2jDAirG~)W?l<*by!X5yInV4lx=l(je+g=chjsVT>E7L8WvOb5B%yBcox_Z}@oX%9 zB-~`WD`+q_|H|&?8=2bYUtV8#(T>ge&R3rA_Xnh4p6oW?gg56Nduu}^$?QP=_{hs(c^+V&BWLf8M>z1j9RHJ}f$1R=U)+GsY-^H!Z;yBtx zu5%*6uIsviq3gP?8oju6L*jI(e@n(bYM%&=R4;OUp9<7eU+_9-5b(OxWC3fmdWTXf z>DO}N9gc)XhMf*Kfm=z6dR^vd3HlCL+JyRYw7FuhSJRpd7HF(6jv1#;d}uOt^hi?JOB8fpXnc~PHp=`{s-WJ!-t0EKZBJZ|MkcL zm)4%mE4lvyW190V=)Oww6Y0Mq9*KZ|csx=h**Y!Lv9NA&GUszFJs~?v!sC^2O*(Qo z651aL8+b*WbTVv2x9KsUf8(2&7t2=KQ}xXf?WhhSNTPB;#=r|+5v_n4vMS^Xr9vI7 z3|8y3$4$A?s`QNtG+WKSX8&w!wr{q7Ik!|@Zr*C&>c2<5-+a(|(08BxnDm_ds_}}s z+x}eo)c(7gGrqQaV#NiH3i#w$l+lOjck8qs?)~|05W(tA>8aOsf5kw$5?Kym+viJJ zl8rWW1u4UnEFm{o@?mJS%;5`AF(U?RVtZmRwhK0H*HK?M_AYpZBR5)(1+TI8SkT%9 zF5RJnQfhcq!v7+@PHAG6^70+Vc4$c3gpjs*g;IKsQ*zI7&{x;kJs<6; z&q}5BSq(|qc7N9N5_X%hy-Xn+2CW%`&Z5w)aVB*#Tp|EveIZU0*(+yi%rH1a0R?V zm?lmz=7Q_t^+KC?8(1vdCO!b}5$+Se2B%;&ER=(OLR!oUKNbD}cq}bD4SxnA^(x{V z|1#uA!=a)Ce+5ZO0f>e_2yn4KcrBYowV z6ZazSN^&4*Zzh=ucr5#9tByOtTOHpS&5A-GdJ#6xwg$7r?UDjnXroUga89w*)&Z^z zr-}l8aBYdd5^h{{RlbS7A@|Gq%iF*g57Pqo@GZHkZxf%y5#P!5|G;VNJCuz5b!W!< z@a8c5e~SOg2aoVaeDDB&zz6s6dwg&+zu5=Z^Xq+ZEx*N$Z>p0pEj_6nW&mxU7w-Be-v8G-rxQ7-i8VNMg*r{d9H;f7AyL@&|pei|_Km4!*+&pXQ(T!H4;Weeiqy zfA@TF0l&ZpX9_cII9ZtN^^~s2G-bc&!+oQusLM3eSwMJ^$2$f9)Pay{06^0l6x3f8 zYVcx3QE_*vOCY2hDAZ*Y6wV=R3we)RYQU2R4Wz5O4bmo$#la87DXh=$tloZVZ%6%x zA8%8OE0&X|=G69SU-`>;dV2NXI{J@=e+KhU)Ik?c>^CxS&E#_}tUcz^pMi@=UoCdZ z-{C*z;THaN9{x=LKNOx4;3DCB0-PeuM9!W71k?lG4HuIe1~R&3s`u{5?S++}EbnCo z@2+xvANE5P$d2jW_ZC+Ct6z(M<36;wmDGZ5+YYgN%VOXysg=$?Lx2)gf`DGSe+%*P zFFRE?sr%%)GIoU^x#K=LrHCkwXBgKn^@1FTRX@QdT7z4HY+omVAAz3TAO)TS_~}2P z*C+_Tq5%8?$>hl`ET}QM$-{yG5@hgP-U5FGsh?BsIc)U*KZlK;Sazq}5-uI#R+xV?KrOwkN?dQ6o&mr%__-&M$|I6OD zfH!en>#mv69?NKKz#+WCL%5D(h%v^zT`ncmrGx?@j^kR2uj996WBiauf08XZw&c2$ zQb;JJG^LbM>bk^-QtCq~r7j^Up+IRGa6(C-G!PmdrGzAeB!r^#?>!@@)`t^LoQwbx#It+n^eYzh2JJbonK@zkIn-TCs6v>{&|I%MdPVOQ?*j=2BB$H?wc zKO7yfKRjmgm{Wk$e=#ZX?wY$V-DA1uT*`s5UE@6C-b+0^z94PDLA)tnvkC+dYncBpkbQm zcN&cpoVT4u8A2vYf7Hwse4gM7(C;+L7WiR-a|O;5*eSH-gU^8eEbsvW4-|MLWM&Bc zGoYFCdE)8<^fd!IIeu8kps|QJ*jBcZw+Qgbz=E?*!+cOnDFSzu3q> zRe~!b1N(_Hj%A9cizZg6fDW735+%cEs5H*RD#c54OdLbSeKJ-`hb9BZ(h6y@iR0uh ziT^g<_)M|1f16k$X8DzgWs0%9Z(@ZeST35_5+%b(W5Z0WQVhG>#4$9nPsU0^E#EM4 zEPa8cnmA5+nAJnGWKqzUrtTM*0S{1*39O3x*9DFd^=}DmrsTj0AyUxv<+Q8J^WaFUQO6nLD#H3E+pxS3;Xl>OGI zzO<-%Ei#s;$W9sX$V8e9ltV5mL%EP@sRpD|6V-{D&*RJu%y|*&D}_WFuG~k}IP8>- zx(c+{f2l#7m7y$yv=_V-lJ@~uqAi!L6f#91UFa)?%uQ%8oV$SC4@8O3UIoHcz`7%d`pxT9q2gy&0Paf#@|@P|*>%{}tTV=&IS ze{2bQ^9YZWiVXdzCwPl$fl?qp7A4QVV$<$V)?M?T82c^lrG2q?i}?|WWh93`X#|np zKW^7&qhiEIVvI!>{Y7R6*Kdrq6g56E@>((D{#hmzeJJW>B09AuH%4V(561OK_zOWl@8@uFSo(QrF8$?Zr)KR%Wk8pEXcG%%_!H}pPP*&EIe^h%);X8Z8h}xR!CVNWdSbN#hV(5;NuKB0E3-MAu zdUsg^A0_wjtqyqeK3$&`BTA`6FM8Qh9u9XUdM&N>)l}COm44U>MaIy}+;)tp7F~gR z^g54jFD>(OH$%o%R$cdD52azPtQB*RufjFRcD{->NpZ-qDx6;sD{|!ee?!PQW7hE5 zQL3z0ey;pRc^dR9Ww)|5N>`CswIb)Ih3>Ks^;PyEZ9<=Alx3pjOO`KM{s;IBNEcyN z@cCxk64$h^hj}*R#{{_}h{3yhqlm?O%=D&i*Q`bWI=|p*k zC7EdUD{ME;?M8A(K4E<5e{i(c!AOa+^V#atOS*- z!0#wGfd8S~1P&{(*+P~EJgevy9oS=O0`^<{zyZrQf!A2R1-#a>e-`-LmTv>EV;Ll| zNo+DHYzmtOJe_?Oc&3^~lKKS|{i+Mpg}{r{hk$eVpE*@?)h`3*sd>N-^%3BFRR{K{ z9$>HP18!8mO0v34{TlFc_3OYZVme5Q`EksTNr~x<`33M!>wP3!=UDMnWqr(w(OFxq z8-TxWy$t+@^(yc?f3fH#))DI?CAQIqCstcOTO292cv}kaSR3B zL*s@5598x9f5+30)=53YirhuTWG`uQSJSKtci9qJfRaBxVzT--(Mlm+;OI-*+xy=0VefoOyN{Y)Z)dzqmHBf~x~);F2rb5nLDC&u>l?6nwehs|8;tc$eVM3w~zllBG-Nf12PoIhRzy;{_iq_$YJypTuR9 za#Sh)JuGZz{A~{Q_QU8k@dgQNDS`OkmA?}s8bU*97!9YpXatRA${?hQO-MMl=nYtln>g;BJ;9~RQ~rr9&IKS2_zMr zHTo~v$gLr%)Q$5PlpBru(p^SbmS&U{gN2->e=@CdPGxRom3el&@=_I54KmKEj#XW( zy1695I9n22vUbUqB|S#_>K3D1T4j_qSwhNMJE}ILc3y2ktzNsLc2n(6Q5#pcp>BKK zzPjUem+Gm0P<=}M%=*RkrS*;VD-CPi(>X_3U}$rf8)d_6qttg8rRSm{=PNMFa*=J4 zfA4XU`qv2$RnkdGMcgu^*;0X2C$&k3Wm%pqyX9tig}fHDQSOktouuaMVc1X3WRcf8ush(8gW7L>IXn`YUPE1}*K}=~( zSIk*!rgf`zzx4&{_1F=y8L_it%VXEZe_rc1xnH+!fvv{2(zedF$<}2%t0ibzTCTQM zI~GTA{o>N&=ET*-t&i)7>yCRd?pnMxJ|kX_UlYG8{!sk!_%r=2{S*7A_MeYz=evA- zhi+#0g!E?k3F&>%2jM4V2^1SXA@>9I$8|~UE5?d_MZO!z_X7EjBHst(yNP@+f06Gb z@_j@_?CSB|Jgz-P>@MAE;}UK7t{UGrw1^!sz8}W-!TA1{McBjl3o{M7Fr$SG^znT> zu9xo-juQG^VvmsT5c2&&zB|Zw%=peA-^1g(f_xW`?+Eh!z&NoVXlTh2JAtG4t|+wd zJvY7&$aewx9w2YcTXH)!v2VurfByK+8Q=FC#rI5ch41^#6D>}Ny}mr*h09nGBBSDa ze0*ele{WPc5Y<=*eP7kMHLxqG#SK-^-K5o*mz@ll$r=`i0p0 zRm5mdh}}CTj}I5hQ$Y(r4~Bc>oNy23L;vt-v>A;jqz=S63vtduoU`QNf8j0iJ(Ncb zwI@(}0<}Eydl30Oq@eb>zB7xI5q?b`5q?=t3LlhLhF_z8Qd;;mX#!{>XcDdt01W}z zL5ZMb(7lk1l~ThmN#mi7gm`h!oQ6Pd>QqCt>d1=&^5J{OwjLi1c$ z-UVx1u+aq@UC^^ijtg&;e-nW32=9=Gq8yI$E|eod_V8SctXLi!o=Ypi% zH+=46di#3si^EGFii2wA)OF<3^=L1UjF@ahEH=^@bEb$mBj-jVf9FP{j}x%+1gsn_ zrGqAdCW$=g`G_}9z?&yv`DhvK5$?AUO9#O3u-44}Cq5;nAGPAcF&zy2$#HEGapn2f4exhDV>dK*!~Sk~ z{bfjX!{gnM>W0U=fALHJyZ+1bDgl~&=zj;a`4EXNX!OAbA6lP)^<8Lv0`_c#h7-`h zGph?4e6Y<24L;cBL-e|k`IuJ}_pxmHq)bZuNH+0Sr_t&(TAfBK9>vp;J`L&9kUoNl z{Shrrqs3`N>5pi2TKMiDS{+2IgJ^XStq!8EgJ^jWEf1pQe?hc3h*cmm@A;g!eQLW% zn`EOMdO3*ami}QC8L84!VIOsYy2HI#xpsyN1^3~pZ6`cFi?ZbV!WZN((0F-XxL3X( z_)94HDz-4(DKElG_8{<=QRk!~ay81Okf{Oo(RhlNGf~4e%o8mypk)VI9zn|s@&mZ` zAkMKCLc;~we*r23Erl(2qGgXf3lg)1h93C~LQ4;{^gxRbT6#qP55NvX%PMH-kqaPK z4yp#`w$y^^ft$h?@YMMU<3>+D^yEV?z363?JQw!OL-{3WSubW*YFUoFND8y zK-{N~BA;BsD;LOWdLiP=UU=bx@a2QR@E`6p(cU)t-i^0uF7t@!c?iXtEiM@PLENS# z##Q+00%A9WY$A4%2=IOvqI>{8G5YF-KYB&H;9)%1_rLiVR+h)Gvh-qQ>4kS!^?7zS zq;7dPe`>5E8+y%b=nz_+B8G(+cPGZ(Df>{@gu7+`K6^w)LMozZ0q{bR39HO|>u!-x zd~}8IB1VKajmQ(wQ{hh7)@eqb=U9(C8`7s1=C9%riXe&mM;=> z!tnDWAfA8!6EcQpd~zYK7YVC6lIa!Ia3bX{c7G)jC4Qc>2f_Q#f zO|SNdo)5q3ppo?+K+Gb4ME#q-46=lSf6WHw(x_{_mu8&;I4JnAvM zf4m#9>ov20$8VCESB2&}(1Gj|aY61!qWu6`8ClnZs1D(_iFwZFwPr@L)6CV5s5!FA z)XlT1!;Ibqyjc~AHNsf0>M@f3i1ra7^C)TxK}90JxxARCe7tgv$oC$xqUa!>u%MR) zpfzR~h_64lH1HMeh_I7;Y)N0AhNgOGf7i{msb6Gmf~H>6j$TtkFIsaOdWEEnHoanw z#+p6$M%mjFwet0HJ2BQrqUN@a2-*7DJ=$mGEepj8Zmyc>3F{N$3mxOdT2aJ(AGKyw zo4UC@vZ&?WR74M4GhcD6Q8pUmiFm%&^gfq3VqV?-(W_$A77=w<)C$!vGN*;_e|cUA zzhjLxJZ6ptk^>+)36hhj3+O-*yVmyE6Y*%o^2k-*liWAnn7%vS zt(B(`D^H=g7ecU!$jjtqR4y-ya>7ix(2!d85v{+#e)WchJ);&WKb&FTt=Aznl7$M z?yKUuhOHu>EUY`#tCh~NGwt~7z^6Wyn2eeNrf2!N;&U9NETI%+? zv&#$IrF9qGb%JiVz4cc2Cg830`3;&ViyO51OYUaS^13bV*>&4MJKVD?(%rM`pKr*i zQ{8jxcDv{H$sBj*p>8(nW*hn|R@Zm93+lVv1$Fz~+2ZbE%x7t~!r396UT zE*`SiKsVf*aK5SDf9~!ScJcDKdn@|bgYy^q%4_apf^N7^3cBb%13F)y>^@na3YzXd z13HiPXX+O>sDiTG*CRMG_VR*;QJm`i4dVnYYnTeZW!JBCujgZ^-wr!B!@ivjRNvDu zllvm#gZfJiPEa8qyXj-dLq8|G`+CHG5rV%Ka34neCUm`ce@kE8QH=j=l;8Hc^F-YC z!-nG(>-y?HdOgaI@JSsf#J3>I*KN_U{}dT-{UD4x-u*aeE$~_%58(BpK0?Ed`0zMI zlZctsh#PF`Hp^XR{C2_CeIJcqeFoyh<24I0nq|g{)AojTL3a3jF-lITZ&=Zwax!IB z3yQAi@tqG!e?`7*HuD-WhHfLjbBz-9CsCge$$L?{M0p+OLs34CG9gl5UxWMzA~!fi zWBnFJ4kaOf(gm%AKaabs>es+`PlCjG#k%^9$f;YgS}x{GK951eoCt}Wh!D?>S4{jW z==JE_i_AmJJAUm_Lpjd9D62v4`nTMd>)&f=tiNfNe?}a-;r~NoT({=QWzbcg_weUD z_-zrtE@*>$vY3-R4`egO2N08IF%QhLZf`@2$bXTOVje_tHV^&rGLhS8)T4~74beGk z<{-~U#HfzvoX9D2?(6Sy9iS_i<5%40^_!UQ$3fSObB_h%SbXc;$PZ7Vpr~Alj7#K# z)rh+|f4A~;=#QMs4GEkO)2W^mj9sh=4K4L6J!yO_p2@He^VE}x@)REzUq9T5g5;?6 z3%29#ViVt-lK9TlD!wg^72j#bi*GXri0?56iEl9P65m~p5#L&VMtozKEWYncgO=aQ zZ^*cxD5I6pBr9W-F{CK>C}YW@+^gJ6G0J#le>}8LP$p2UGEtdG{gg?{B(f<}l&PdC zA?2$SuY67U2Hl~oQl6lp$~Tp7(p}28lyB1rHBDB2qx^=ZC{HU-(^TadLc`T0_n3Fk4 zXZb9jJgk5fke3y*Lh`XzCStBiDe%4H1 zXDzISR)8gjfxgE!vW>KXZDO0~`)o7YOdDAT>!2U7 zPS#1A*cP^he#p957j0%+*;e`y+s3w02iwlJ(~ns<>!wb&gYBT7u$}DJw1xeKJx$x# zGwiqYbM_qj9qnKT+3)F>><{dZf3%w&VMpjUte5rD9`+J@iJoSEVt=B&>}B>cJ;P40 z6Z9kOh4!)2>@+>cUSqG(e)d=PSNa`0$Ij6Kc7a`>gY0kYZ*++Lo&BAD z&)#5f&|&r_dz1da-ezyp^Xwh=4*ik6%ig6I*!%2#I>J6+AJ9?u4;H3ge^pWyI;Ju; zhF(@5OoNhRfnl}(O=b(Y9gIi zlhh=-tR}0;^oE+ErqC62oH~x)R8!SddP_}H)97tAT}`K}YKA(M-chHk)9JdJseYC| zP-m&1r+=vVYQChX1!{q0e^Cq7LW!xxYO$o^sj)(eQ7hF-$)+w*t0hf!s|`}TE!Gw* z4Y2*(wo4kQ;qg}*rdc$LG+a|PRk};FYF254)=%pvjnwdwMrrX{yfj)Hpbe1hT7s4! zjnM{agQP@lur^rwj5bsoDkW*dwc*m;+6Zlgl&p=??9x42qLw6$f76n+WNEyXqNPY_ z+Bj{TG(k(%Ql)e)O-qv|YU$ccDMOp3&6Yl=&CwQ1bF^$NTY6B-(Q>40EmzBx9@6r( zJSj(WYEJ24Enmx*aD&)s1-_iTCr9vIkZx(RB~$NnoD{_tJ12Z0K_9Bzd~ra_jlIe+jG^D?F99Jo&_BMJr8;j^a|)z(CeT}XtNFFTcG#EHK}5UxGq)TxxMTr`mlid zfd+sEqaM%kp))TA!nQO1)yr@VP~WBC{VF;r_<$p9w^Vb%UR$&1hmMx*_q?q0+i+KaL#w)ZN-`C zeB3$LxfUqhf4SN@)42{P*}2h~>Rbaf!rAOhbUqH0;4F6zbygGq4**@xcxMgJU}v_| z;>-imoH>r`PA8D;oaMOU%p%$Go^!V2b?025%g%9*lg@OYv(8kx zbEadDa}-$|r=0U4u^1A$j^of_0iTcB#i-47Ty*9`e|m-Elw-96<9BU$yy{ry+WB90 zPh{fvMkIb|Wc;QlR{Wl3i1;^w0WrOmf z>I*=nf1oN*9mor62DK4Y;GMDp?~@gHpRB-pWW`xZb)-1bK$Ah474eR_js=dvjuDPT z$00|lW45Epk?qKH)H%G40!K4=n`1eS#~o`O>m8d=)9KiXqua3yc#mUW#r%rJ6}cSo zUy;H87@>SseurfFUBtp7Vxfvy#E4jEh{X&E}xq}p!UZc@y@)J96UdhJf9Al1^ z#=0nn`iYWU#lQoA_earg)C~rWFo@S7MdeVU(OyuBNol71WDrIgnhl!UCx6Q(to^PU z5Y~N{U+CaAi#BGzaQ|>U8AZ5zZBw z-{5h9Z}#|uqjL8*T@NPdTfCQp)c1;-{f(D`bM(W0 zH8@W{>eoJuP&(VreH)UTjz zIdB5-MgP=bb?$Nh%%EGp=ARQJ@OiKwehW70H~foQcX?!gPU}98)$eRQ16+tPuJdo> zqln_${5u2&_be7Qd~_R7zZ>KF829A*_XeGweE)uox7>d?xEcIt>!I9hfBxgaQJ!M| zDd_nWuJ84q4Q>&fOM>g01>c6YNuGFrd9X!y`m15B%YQMr%~ON=9eQJPzoI>!L4J3T zJj4Bs;8uSNWc>bX!NZ=Q|3>hrM~CF@-1dOndVWcvza27m;Q^ynvVT#q-KsO!uz_pXFZ{T<6IWe>$W2eE$Y`Z@z!C;2bY&d<*%u&9^srT;Hv437+z-3|NDj zXH6hJSm=2Yz3jkWlnWkafI%zh`4$Q0vLuYk}dxi=K>t z9X?#qv?q8a_c;82&C?M`4&KN;8c2orx;XZ1=Q#Ih)1Hv5-w0$Ne?NKbj(c_nriZMa z9{XwZ{3ARoejyJR|1RC_SHacaE|Bo zKt4vaBXy?0GBT!kpR`s6k9`1Z3Ej8_+|; zJ%a>(&wn^%hvyfCf0A==1pL9Xo|{d(LaAO$AQ;N<_CpjpftQ7*dk1ix-ob&D!6XCg zdjo5b3B2tr?})&YXlvj^j&s)qHnw(qQvw}DFL=`eU9IPNK7^9Jllh3enb5EmRuu!! z4s7qka|1iEGH_odVMM^lJz(zwj=k9e=V3%Ay#>&dFCe-W2ZmhKGngywsz0xMBp zC#>}bo(+!jHV1lO?Y6)H;I^g_!4=-+f#+Kfd8P+mY(3;%?msN>D*|J7cpvwyDcXZI zp*^(NyEgD@DA&6_@OmiUy9xS>^=-L3LN0G7R+3SC1q;Os$r|2ENY{@BE`_o%ug_!E zI_ggkWqGWyf8>mJvWP{NiQfti^=|dVhl;)3zQ&?N%mQS4?hXI8kY9K6e1Om23p%~K zOh3N`%l8_flC^*M^ z=&|ac>OJ|GJ6I0fC~%9wx9X2IHzQKIKfP$V?ra`_f6O`FJQ$f%*t``ZIv(f>Ci#x~ z_O^BUjyGLx+q$HX-vxZ99&2yw_Fiu8Y}>`x*tR_$dvj;&F5lV5Rv?Z7=U(G$ukYex ztK0VZt~|CbILCL**WPvr-fL-lfv@~+$FRC;Z6`fhz-Q2QLvXL}M$?(L^QfQNcG#IS#AaKn@{&I6>u-$vLd3Nho@Ac-n@Y15@f6P!a{I&o&xve=HtI9k`Ch@yQD44sV zIZxCSgl0jqG_=e|%~i7Lh-$rgP>Xgf0bBvzp-E=nd3a-2=tbz68hQmQ=dRGJSTV2?>U+7JzLpky`*4hx+U<=iTaw$88`rd? zwx>2e*^<$o(YUc?di(Uoj+R;Nvl_eff5x`V#_cUx?f*gE*FZ&;UI~8hQPdBAmIXl? zk(4FUgf=u`p#n&dL%kSzRYe#VstRIgn-D{YA;j=g5Gb)I9LF^-F~%6$2G=v ziAxw`Xxm|3j>iz%c3s98+BPi55RY*f*TXot_ultj@v0izPG&Y|&zbX`d%s)vf8FnX z_q*Ty?)SY%6;JS9@QDG@d(l@BsBn#TJ?KgEUiP^HF7MUO`++U6u8+Y90rqPci^sPG z=;OHFy>T#iL*DDYy55?Wn)b81M2w$ZPhfrsXo#~0VLSD`yc{8!qBF!tJNeTOj{Al-5n=rquCZ0DSJ z)^{S%(`rV#<&^J4kG0L=JFUbgv<=cNJA4NMyW2Ev^MQRW$Jk{EBAXDBowrioif3$1NuR(dhm4KsKcXy4yZUp&4n4Mc)Hxa|?OKPv_WPYRT zVb|@Q^B7COr@j#T6I%vb?nCz2+U^VDZ7rMpDH3iOZav_)K;+-ub)tQH*Ni`da2DZQ zS}zS`e}fch&?AE$cXZ9|yxs2Yn#cT%k=gF+X8w})KubAbUwhi?e<>|@y0!j|ge{1B ztnGW<`du!FOe>BQ{L|TTzdOe7081+Uo7?+aw$>H3AA%JPYpUDy`h5G*_I|&o+|5rn?0#+eRmT06TGpjv%RuA6*N5TPV3p$z6n-(XWL1zvlHj5=P_b`ZOhSbp7z&c z9`@I__k)b9trlk%f9$LQOD3TAM(I=r+A?K(n%j?eXTwP0bn0(wKjk_Ey#xRCJ?-V) zR)3f4aaY$ap*`R_wae972fJii``PXyzrQuEyVSp@eXx6ze}B8SJA2oG_Tlbw@ZLyw zrT-w{8vl`&n(nQTB@T6O+c^gr(%*BheYDH(AD}&A-gT{Gf3BB#TDUrZ=lR|wqoK$SX1CnxnD1ps1E1*D%XkmsHREZ&Rp{#KwZhtA{`xi}^flKyiT27w#1^>oYGsw?)b3mr1?E&mrb2t{*r-1I= zN;G6()X*~Mo%N4<^MT&xEq3kg-A?>%$nV`jw3lQydWEh%y*{tleYWSUSLhxjdKhT2 zd!#qut>_*FoDO^R9POtgu*-Dy?roWXJ@+=zMMPgBf4ZOO#@2-HYp|zJLvB2wrrU0U z57WIajO4Zfu=$X8OSiW7DAAjUJ`VB|kYnpw8+uQ<_I6M7rg5kbo3Hw?XoB>+8V|pL9jp3ajoMG1?Q84n>hpJW?KJk3e|EI(H1?Ii%JM@rLPmm^!Mn(Zn6Z0m z+ONTC5+&Rb<+~U--C^`y4xH;q?A#H!(2?T18o1bD@m&vGmhO=|GCIoxSMg3Wa2@X= z12;Odd^g}!Al>28y{&v#tGZ*A?^Wq8wIkOz8G5fO-Hmn>`lbSt9VNc$z*NUZ-)vyI zf5YK>6qxPU?0XV;)Zy_xfmWoaqt>_3lhjeaQxkZC{RS4O-)!u+Pb1$=-aGe2 zp(TArvLt)alDf+(G&*xP$a%Ag3=2 z*I-{_M`LS4U#_g}DB0P(Gpet!!@o1pf8QlNosgawbnIy#>D$;+3Fn-lj{Q4R`W*7J z2)gU6EZaiAe0+_5=lBKaBZsB2Pcg;>_IZ}f z>ew!p%l-mNCF^J3W>su}{S|YwlTfPJ8Fr4y@37a|6#EVPI{V*jmbJ6T z>>2CkcrKIuIk%VFj9q0=T|tw@-Q5XJa3{gt-QAtw!5;E(cXto&?(XjHPSD`;faTk* zt^K=I({pR8r|+$r`(t`~y3ZMzb_#8EUj+h>e22D7Kl7{?y_4S)kAsdwFVc?-j^&v| zT;%V#EN3UJd?y%J_+p}V1u)Wa+ER22w<@|utCnJ{b`JU0TN)jlIM*xI4V`lrJ`}T4 z-U_Dkbo+cXTVztlvuCT8FAo(6+z8z6;zN2^h;~hpci4&cH1R&>9YYMQpX-dHfJ4BW zX5)m9kesz%lCKyvK#g{Bsi0{ru#6MaD&^W?RD}~Wmt1tblY?r zcU$JNB5aNZTbc*aEij2ffx^mh~b6$P}c|5}+I6VtU>S+spx(+ru7R zrMPF@3DmyCJu$2TxigkThNHM_IXk@$M(Ez(9ZU&c1yWPoZxxCUDxT?zPqw^~*>7t8 z)zoQq$9zIW7GAsHztr3snoqoFbYuT+zRPCF>7HqV0A&v+H1;fhVB~%wCIK%{q9=oT z!Wx$vcyzm}=9YiiHn^^Vn)#dGn**CEn!z`uH}E$+H{vc6E+gzFx$HZvJM21aGyPxv z?WVbyS%m2a;i$=^rLgCNTGBmB|IF7ptt@~M`QtcxRxN^etwWo_Ek+^ zW;mHs%%>t*DyQfoPCZK4i%NtG@8HwV;~==t@ARS1zM(!TTcIk#0E6_@1pQ|+V1+;6 z$BGX`5vCq0eWHHsS;N{xBiq&#CNNvqF#RBgLcl;WhrFaw^%UssE~3PJ`-Q*uSH)_v zYIf+#45BlJ^RZA*Rmd^(tbHEE`U2G!TI^IYX(Z4`Q|JU<(;m8;9*>9S!AYNgr!5l06 zkMtIcm}b+J%!k%>(`C3Oiks;dXxuW2v?$qaHdU7XUqA3XZ_?Ub^ysI%>JjEs+c@-7 z@X4!rS^J%+0Wf}(bY3z0!f*!5)JQZtI<>SRf{C+KC}JOOlH!1LpJAEOCC z^mldSHK2nkjhm^Rm!7%9D@?{CZK?vs8`%L?zBJm-V$sz%jb1ACxecBH47 zyq8lh5mVci4~sYhvQpyc0Jf?p{C`g7M|fDz7zP@3VFCbm(~Ksx#&kA zak&CQwef|dkATKaNlI|J1UJX`x z%baWLal6JPmB6SHFCi3)e%u72l-?(65M);-OspyoT%t2@%Ct?g^>z220v~L2yo8I$&;JKOmhDkdWB>w!+U?{$88gz5&yvD$9?ev zFx@hJJsAaX!=;8#$kFki^(gmEzdCZl+(Dnc^TBP-k^kVD$oLqdry$>&AvdU&E5ZiLx`OI}E z#zLMjsi~KGginEdTJdDa#qXu|AF7X5+Fzxnok)Wl8YNOnX>bNUYS-S+tXiaiv7Q)* z-;;7DY7-_UPr_0KKL}|LRSQc8*Y!*Zj1M_EeO;9DF1Gwwu0KcrX}%IklCnjc$&g96 zZOEn1mC4;~T=c8?LU}E*KDeH+p!gylkBZ=qub}QUCyllki#q~m*Bpzt(pvl}GABaY z#D3dPD{QsAson$_szq);Nl0tYK+wcCr_80l`C!x4+I9h0bDdM=64!~&6Vw{Cm=!e_ zHJ@APWUWJPq8%D9O5mng7MZ9v3FU`(1@DsF{Iv0LqL@{MQZ?yXg}dZr$st@tOb#@U z?G>O{N7j(D|Dm=CSM?bAQE$zPSQHxuY0e!%4Cl$7cM=cuP~lVMvX-aM#F~i|HCGjX zQWizSsf+?U9HN~iqB0=A)EuniQ=BWc3R0#Ja$t*!32%!?yWQ}YI_l1T&YW}_PL zvZ_bBAz1MqAKt0GPM^@N$ehNv3-GXPy)eBr(KiF2m!Meah_4ib6BqABmcBxdORP)J zUEW=o%eR_AZF09{d0xf&Um~c}?9+E}k6qJ=Ci#mHH9tcQs{X9P15|IbJZh~VEWMo+ z#E}P=g|*z-!Cdfkr)8(qM;1u`z z7}>jgtPhtrE8_uwnzm$aZddMiu@dUkGDiut#tD@r%plMG-sCHmp`OW(@Hsrd?U)Dg z@_n}>Ly;3(-zDV-HxKcCr;E#4z(Qcd;a5=#(1}tU)fh(da^(W^QMUkA0-Ao-7j`%2 zJ%w0;nne2=_4PdLJ^#J#{d-Ke2XIbx=-Rvi#h`phFLWFIM9Pu!fKJ8Z99HP5b0BJ0 zYUc6h@=xdS9IYndye2!;AGi54^Fy6zS-8lDTu?esF(zPrWEO8MZbaB9xI=Mrs#seA zK&a*+Wy_sCtJ+ka3?jxD1<6>`7L2VpW#VrgiaO~rka7AQXpUTeHurXkLy1R-Xd)yg zipgF{kBGhPh#IQCf|&#ICw7)y4RfBFn_(|#zr3NocpMFMyu~K{E)1$;Qe&jfMUndK~1Wsyv|iO zG-j>E1(NFb{P@*l4~$Ek&{q>HKuPs>m?ItV2ahD3I##_M6|OQn)q%V95XhK^{LK^7 zrB&<>iNkaE{tM*Ln-57fmQskJW-sRsTj$&e2WmBMa}-H6Q*VM}$v-(tWAvPQ^oQ!* z>V~Sn{Mwb6SDfewVFq4FlD=HXrRG=}SL1$+%TPp-VXZVIBN!>vCU!Fh;9hgc8(AmH zHM=*{VqT24Ia0*u72{u8y(e%VM$QjM!v1sKa!;jKO^>}M2GumkIMi9l+BZnh?Wb(j zvu0T?w;^+B;DN6&@s;V2B6GRuvZe=rUC?{P$9}->`gbfav2+}D=W;EkN~N(@%6F_M z=WeD=2&IzSbRI6p4?+YH;IgqXrVye~$@sNQY^4zvf6N!8uIxc}#5IaVV#k1*sgUs!=N`Td z3>f0%(?J9LuV-cYG3;aVzFC0W_=xf6p=7zmx7!GK*3eSf5{4aAJy1*Z=dgg>PWUw4 zN+OB!ylEArf!Ey_1Z z`&?9@62o1vAcUA70(L7{^QhY*IER!EI)@KfV{L+*LoqXZTpM@8=b!0GQRXJ2u?x-Q zLWGBT|H*^&5`%RbLqQbB$3GF1eUp8u3uvZ{ssr;haeNBSt8SEcYR6W`v{HJi*xs;# zXYV`rf4C#9PP55L^W8%!=Q~6V3R*&nX5U1B8guU6Q}@I>u?xH+0>TckV}G6k4(Ggt zEAJ)Zya5za;9ZDj1G$}oG3-wBEf%3`^if?%b@#UiEFN^CWoni}n`T14%m>TB_URz^ z=>`}enMK?6YIGOH>sg-gUog;mexu)H9Q{SyOHO?=6u{Dm>5jeY15qJ#JH>U6O`T2w zS?768$Sp1E-eRwAn+BASt_9j0tQtUGDhj(tld*#M-3*)Vi@dH@|5zGa!nlCIvT~*a=Phl^+YoB;}^gpUivC9 zae7%zdvfB?e}t_d)E82b9l?DdR2J!-pamZ=M{tJxIrl~oeBuMAGCYV?-yA?7kEQj< zjzj$JQab}jZ;$GpoU>(TF+rB#h4)q}3o&seu7jN7BYOw_V}jzi@dtzGu~&-h@vjN& zO2hJ&EW@gnC?AdiwwT7-pFe>M&Y0#5nT##*mjAS_$alO)*hJZ!QZ`a_CLk-7ar#so z(hIr1*;+SF>SnC4K$qG*W~e|dO7ChHLYE>ztQLcE{%m z-NF8Q{_QM0{*oZU4v<%WLVaehr)v7Mo|^Zki+s7c(G>N>80XRWv!OH4kJ!%EghAg{ zj)O@sN;ChdQo6u%&^>?^5Qt-0%Icl-FJuKtH+@;jq0|yEz%`JX)^}q*kgDCdXB$#e zhc|#Sz^zr8KQ%j@;&Y03+{%8hP>;6^bAr=MP`~#VkxdV(l>ySMX=w%A9!yTA)`oC# zL8U5WsUh%+4 zE?c)MD=A`b2Y84xj%!gV{#>3|BOHyx%;2R1;ZZ||gy=FagZH;6$`f)PdJuq9dH-fd1*Hw=|aFnxBz^a;^TgYLS z%N2$Lyz3Rt+lKYPOWowz;a^z%q`?Shqt%k{g&zuM5 zfBXRcv$n$J1Zs(lAM=BW#c=aQG{nzb$D>ahLS15sAFU^^0VVFf`P@aGQiZGlb3+bT zPreQEnF1aA%!^2Qw(HpRKe$tGcNYSKA!=^2oyKk=*j^Yl(mCRs*M5AF2-k+TD1ws= zAXy8~?}m=R3y2Phk^nQ%^#Ocl;I156J|&GBWh+KASaqPHZrF;DR)Kgc9W{q=nXFa* zj8vranLj!8MT)SR^`a;`JeE%cbyE&?`9B$&hn;Ue!*zHD+?^<<_FO_q_&UgV;G_Tt zlM`#0qn=;GD-c;`)6WM=GVr+w%OinDYM`}m{BY2~+OtmUo-+JM8Rx;@IYKWC);zKg z1tAT>@(DT3&v@acw_tj#OPSS!s3WKpT>5>q+}?AuHzP5#_h3dbKjl&7W91bOUOarf z^}HdBDiaT;{+)@{M9zRuj+~6(Le3B|4@L@U4tA6-`kOg>Lne8*84>y@nW}mt?j1fW z#0lF00otmul_k^nc-3T*idE=P?e)PDT9#HXkdLS&)1TbmJ7msTh(Cb>sRKt16E`o8 zaNL0t1q>Icd&SPyNfRxhj+m`x%aFPHWSg|pQaud}@Ox|u6Lfnn#Ss$?BfqB=;BIk7 z9`~{B_hauI{00s0XviAgkw3W|>jegK{ZmeW&n~-}WWHf%z1$9L{6J_vvt3|ctmsGj z?v6zrh!@2B3m+KSGZY1)6dX&rQw3q2ddC7$ou?h|Sq`&u`^rERj&z?t2Vrt9d*q*W zgL*y%UN`7o{UQWP3wr1mSlp&3`#gRg@&94QWDb`NRzwx`kU;V3A?{yrak@FPfkOrI zPxi@GcwE5i`YA$^b%yS`qCApwI)w1&ZAndKnk?Lu2?Wu+PdAbWaVylRWA%3s)ZfJJm@(>G^XDYQeSEKJF-Q z-cdhGI;{zs%n&=!Vz{qT=!{L0NUQ_WB0tJL<%P{a#+bxAu16v`i{8plLYUSO97mad zQ32tBss2*=MQ2pGuo(rlE3vr_tT!X?rrQhnSluqNj6&)81EmqK!&JAS1+#i1pI zSTQ>JB3!&}&?u+KApx0lG+NuRp7u02Scz~UESQ3{#AxQQgrCKW4k4IWRh<~jbJl^W z!q#g2eXFS73gbkm3KA7?ZIMlxJH%Xjh|T}+zWLdN-nRptX7lt&0aI(8<=RUB>W(7v z7KEiVRFxuB*Z5*_{+An|G!!jNyF!nsyMepAHt{a&){CI$;r^S)i8>8f=gu4x$LAgY zpH8C@p)Z;NRF|72%NH4i7&xmp|D5IhO@;GEjK^<=?(t3phKF{elc+Tn{MMLV1(i5N^mAzc}Ph?+14j*ur4p8l*Y}%{{OWE5b!*(8*!L z1MSj}ndY1Zd*p9{BXEf@Z8e!ea~pSyHYAdR$4*#6JG~W%LqRU^3tQI^lN${li=8+0 z^A5A1EI=n%&P%)k7zk!BP$$j$L%tssPLW*DglZn0Vi2F^6*q`I{4U~{u@_>G4W1%b z_ZxtS)jju=8@>gdDalMq5$SBr4`kVM0z@Tpp^Q>!FoXo29F4jXtS)i(i0a(A?6*+F zfU=G`W)Y=avco9G&;Fu@9Yle2e>A5{B~XCW=N;331K>t5N)qou*kfYoF_M*-(i>hu zRPQ4cF$b&gpCxc%k74fQGliCM0}e4nkgquw$h)5*ME2P*Gx;^-F|`=kF}FI2m3xAa zzKAa%g()Cio**gsqvL1k804$v;$Pk{iXP#6u6{}QPu#(Eo%uVgI=2YGFOhBuSVAN@Mm^>b;nBX^% z?ct3B8i^!IOT@Dxny5yfA?vZVToLb(M>*K~xdtg> z85j2;c?h0));fZZD6q9yD_TqNBmlrYlaP#jL%gih+_m5vJII#3lTJ1Ivnki|UVz|I zz9{bC(?hu5rW|0H--E8nK$!hcqlDKG-I7k&6QVf#KXv3Y;Yo{28*F_e-@18u}ECw^o_De8NAodK=J`natkrG}==HSJ0Db{6qm@!9$Z$sg^ zDJ<>?yvse4Z*nP)6T(P@kTl5NnSgt;gVJPn?`Gi!%YH=jla`oWF*lt3qJx4`9=v-b z`ztEC)K4)SYh(790`kvaKuOPNdp<##Xo4Fuzxnsb7ct{yGpxH?F&9^UMBl@_7#9{@ z;$QBf9eCutdex4m9J!b%t&wD28Ora}~Z;G>dNq484<=A}FborTK2=R^@A1%fV#wAI>dduqDDpk}LG^*A+ z7tbnXdqeb6jg$LlGh&c^%*PJNQxOW}wCS`eGgaYd-D64^#Q2Akb30rtuc2`m^2o^xO#XO$rz=3e zK$b;I#uE`k7q268GwmbL4I?o1#tOfzd%`jO72YKwj_GyXMVUf-JqdK@dipn(S@Qm` zyJzP5&QtK^u)}iZE5dO+`P0(UEww_-(M?)c##*fmH2?b9L7s@PPswU zE@n$o2ZO6PkgQgLjgWN}A|vEuqALS1xz!E+Z+S^+vB-e#Cb8ezZfAlOyh@|qOytsq z#2THoY@A4w$%wk}Dx#U`Lk{Cg7lipAM&=hC2(uhvOANDw!yI7n0X+Uw0szWQl+lj4 zCg70$z8>+OVVCLt2jVjlPomKtMI(w*SOrYp1H&s1;v2K*ZT zTgXmm#iMk$RTXkOmxRrzH?Tp=S?zijlhQJaWRI?&y*5N>e200K{9XL zA1t^Y`223rJ~6cuaqe;imVMnz%jiom0%BB@>gqS@ieLH9hk|iL%J^nno*Rdn^dozo z3EC9pm~;tO$TM$7ZfHxTNNdhljaKOmmIOWp<11=Whk zcH|$~2M+=KZ)?a;l+&tS_+D5HZ6ci~h)@XKf>g=4aEOxcj^K_=H;g)WerLOb1GgTN z9-UEWvJ9swdAj4PXoVW_%-6PkH#YF>5Jw&>h#<%=|bABXO}*+f?%Q2c3S82nYdQi_Ztg(n^QYk$?hjDsIJ`pPgD_bh6sFN ztq+h@IWQmyA{4~rC=fOIRFN^N7Xkor|C8kOx~~WM74F71uTm{&i&WdV=U(W8zbv;C zI6GlFYjz1Xs8wkai7=roZt5 z3xzlbm)!rzMBMy-1z~rI4)G+@XpmgG5?2ad1$BY=p%UIahQS!O(px7wtT7*R!Wh>R zxy;pjgn|DIVzaWUJ@#)P&6x3zftm6L2KR=8^W)v7_qLo)fV~zzz*BDcyMfb9k&2L1 zO(JKlSRsR-@cd{IW`?{(d4cHl7eanUD;x!Xgt8=HAzs&kG;ZU>E|s{1=7XE2G=en} zH{xSK4`bEo7{D;sm5;K=M5}T$|Kw?Wgy@2Ne+{rl2s*kzXcP}%*DIhu9)-RGnk#|_ z%vgwiVx7W6^^iReI9`&U{)8q%L;=mP;;vKZokuSR&(1&c7eL<< z{2=eQ{7-AP91l|`ptPee;{eL812nA@8<%0&CaBm(T`CLk?{)8b*J6GDXMO%}d1`0> zf6Ej0H~$FCD}Wt24aKg?zjiYNL9Or+f&|JEqZYE3oP{I}ZV<0(%Q(Egidv!t9vG(4 zQ4b{6@$%pH_w^CxhVFL`p|gyJvTV(epIrZGL~^34X=lN9BsZf~7Ph&;IA*psNO zxsmQJ+zqLJ2uu~>4MFuKJb=jx*$S2h_ueb7&~Km*A8`@}1wzMP<^uPMr$r3#ysdBU~G*3OMTW5l`wY6et&x5VaxxuL+}PJpq+vNz=5KEAHC&q zAdDZd>(dadHuRyBGgezruNXS~Ld;MxqUWZwHr>s#hc*T*lFKYc9F(y_0|rLuHxmJ| z6^)p^SG|B<#@_31>}ZM8x=Z=5MfwOFi=Eo$KPP zRp-;I;+^1e=z;212cwF|k@K1PCdaA^K=RgHr&lMtI4o7Ms{F8t;RAGq9xnCG-=k^V zR(TVCW-%bwjj78DI+SUnF|nD!0~gGL2#ZRr(lmYnO!h-+t&$MkxUMpLlk2<)gfDCD z{%S*9rp_CIo+b77JrUj(x`z4vs7Y|Bs^^5c?w|dD5~X8eysNPbX9Ua}ILr;orBZ;k zWIaW{(dD@T&*9tMA_orO8fx5)J#NgcKFo;r+_CW9uJackb=B#r5h#prfwLGC+!Xy4 zcScFSrBR+z5GjfHyB(JW3m}o8d~tg|Oh{_%E_r00jf2R7+$<@Wi~95oix!)#&*X zdoy>Sg%qtkU_Qimf8TXA=$RhwF*fvwT|s9rOeJR~tpRPSNB&NfplR)-u-0|ob1mb2 z6qAI@-&}Rm0~$p#A)BKmdd`G84z*1CcHj^LdkvAMW|Fw`+PG@j(9Suk@N_J}D-O!x zcSmkQZ(|@oxL(Yp2Xw+}KjtucYa&SK^HY%==l8n2XDPmU!kjWm=9h6FAep`>Pce6w z!3B|2Is>(_M;(G|9{ue7mxuFwgiX8XEM)$ABLKo~={Pj~__rf891e|NobEl4weTqP z5!3VYNQDL<@4X~^u)(J7Mr)vbl%r+4c1~XA71;k$%Xl5yXD`KZ5F$?r$9aRNTjycU zu6Q3=>ibG#1upkl+4;Be25GokwH8_381A19>H}w`>5i$U+9B5e^98V%>Yzu&lE&)l|=c0l7BKD*HaX23@#KsY9}^%8l|9gO*yjW5aKH2BdLax!Bd zHye13%@WM?eLrCa?ZqolF9M%?A~*X;BB;vShG`}C*W>d@1AI%Z^d@Ex?6hu?(xQF9 z3xHcNZx6*7c3*4@sE?I#R)&Gg%)K?xgE8R`8Up?#S|)+q_tiICk8mmZ9V@J3GGjl80i*ZZ6#&|1W zt3a@ie_*5!DVQvHS%&;%I|N=Z z?kdN4A#1Khd%)NyTOs>RLeJV`JU|o8k^iT0LA_aewwmUjS~Iq%~t&370ydO z@>|Suwx%p^kixRQ6!#CAfNAAZ zTS7n&nAo(FbPYmp z2jzxBGlS~LOI<<*c1Vr+(;M^2{N*yDw=RxX{t}!x+bLD86swM!`x|+lBsG2>)qWl7 zwv(FBVh+$^UeJeIYA;y`{QFF0BZ2l{>x4eU{zn`BPkDm4%U8;c^N^eV-R(kb82H@a zY4*cFBn!#o2gkC$rmiCWVxY`A3HahHvj#d7*(kNQ>PQ_%!&GR9MwmM3tnAe5w>cAu zZlRU#xDj`sMVbCPa9u&tI+72|y!`{&XqRt?gs^Toxmao7x%W-W zIE|UEby1GzP-Njt#pX3d;u3OkGi!d~7>v?s@jAD3wuWa^=ymb8FTfo2D`+;#kpvpA zx`G_trFZD14|&8ppK&RFXdzsdoub{Zs<-Xmhp`aFkh*(obNaK1hr00Jb3bK2q#cXL zJK7ctAL2(N0&>7M5fqSTJuw)~{X%=Cg=~ptNwd!KkY`c--oG4uK?H!n4SgP@7Ks*V zhCbHG)XeQw$Q&}14-lU~WsZRHrwJ~_QKFwiUk}{t*9MS;?3vIU5-1R|{4I~0qX%Lq zhIqKF+FvP?!2h(^TFiI12%fCeVKxvYlQ~v>WLMMV=)` zHGdUfo&{!=Z5_p35J>69(60xx@T#h_>OL@b~(wsxbH;L9lZ=RX# zI&vBH8!2i9L1F4}UUDh~*#g$`wmL;Wo~0jYgaN_{tdy8-(pupmtjL{3ILIY@d8J-` z7Q9}-@1T%D8OX}qBQZM;SyBEBd)cdrK$Txb*{$2k5RWZx`_1YTH1lVsuEl)!1Pqsc zh8D^aibbXg!pDEX-Zy%@vzzhEsEhjI%)yS#TI@~XLXv0q>|UXB3z3qo2i@@x(S*wW82%XXSkFRU)bEJv zgt<0eD%Zf;MQl|U0MrW>yUmR`*6H_((zYc=NX%jA>@6F z$kS2FHTj-{@jZC{dt%5wE=2LdFCC$F5QG0PeI{e@lCtK`SEltXclHtUFW9t^Gs@4~ zh5hw_8(fy^bK{z!hcHjRk{Ias#W|O%Rs|qYB&V9>b+t_F6Utob?6uD%UyntMh_7N0 zbJ$GkTxVtnva^Xo8^C0H`)z5C0`N}X>K%!9UuUO zeIgGKHZ+Jd*SiOtlI;j%D(p6-F-M5^fBlKu4*yay{YN$OWdi$U;)ZyZ+|0lKD>-ia zKV|}7y2pdoaW}A$rRG2pK=NhW4_(G0)PvT3H>i>1=E5J<^~3{CO_!2nU9YGl@jY?^ zaS|Y1lAkg0%q`h0{4Vprd%2vmbk$-@g-G9&4PUnRyN0t=_F}{74BwxYfWywSc*J5! zrVNrcGr%DmpYzcDsQLoMid?K7H^1}1{iwXhVai$jMzQ2o8cCZi;4tSb{_V4$f}J)L zXKoyL8V_46Ns>Y0`DI*p7EevC7z}}OQ;|Vkd98-}WC!gi66a#(P z-*1QSCv+DmUdAa3W_u~zB5%X=RY~gD&(J&6JqM?gB`?}4ByFOKr^(_Ct?T+o|H)HaO1v2?Mqa?M1!Qxi!OGxD@pP~ zyh!o_DE>8n=xeY|XL2!=YFL-=;c3&8(qv^Co4p6rYSg=x2TCA3hEU}pSOp!6i_K)+6v#f@ayXhRJA3(46 z(~Gl&hLVZtoNCZ^qLZdl^u+_zbj(&&*_zRc*{tAOBx3P zGT{G)CGz|&iuqYaDm(KK%vqQc*T#CE80>gB2JLuL!+pmJqK z`AX!*p9MYdr>-&0no?79gEGPs=qIqfQ*)2-_Azd2W#mBAbp5 z%c~YORKV?;nnMt5&Kn=pfLa@+cIfoJA<1>AVFWMqOwL=Hvmo)I8u0-(yY$&MgHiTN z-b>y(4XpSQ`4Pj2i7&8UC)z8X+Z`!fdP8N)Chc_wy0WQdi^Sa7x@?&}=AOcTsXMIC zN4&+c3nMnpExmZ{merH$0-+`__wev;y3Au{hr0JF0Bh@fs`!*TAa5mB#VCX}9HQxx z77pRmaP7Ze5Sje~rVDGh7xXCu$93Yr;B|*JYSn)sZ}fH>@6=`g;^ys*sU_7Wc}vf> zOkH!$KPTy!A*rM3BuCx9{%2(nx^nQ~DY_lReZ=TNAaLfe;rUn2fXfR+cN+6a#S5T2++lC$_7ddPol1Hr z`4S7F{0z@M!hKQqjodkieP;g%=sAAjS+Hx*G!SAg^7=dUn{-PtYQvDZDs>L>{uUtK zRZmhBdWyoYS5q$em-E4@E5<-kagq0{e7M`*c5AuAj)Oy#8>okjT3D;Rc*81#ZytRs zAzoOIZWctK9!(&@NHJ_>#KD?DSQX_#(I>^hgZDiIv=q_9#+xV`qf(ia7K%^_VckFB zZ$c8FU0aHp&ye(^V!S+ITB1JQ%(QTowjS|1mJF9GCYnO?@%vlS=BgQ2Iua{kZai-$ z^;b&&1TvzUJzdJzlqQ!If<3zNTOP&Ei8q-3)b26j(k;(lDZ?Eha3EfEAA>Cftcr zBi`4^RU=Qv7c!!RZIHS`6&e=vibY3WL>BySxRW(FQp#Eeom@vpNgbWlNlji%Ubmcy zyo6so;#9hTfINUl1xxX$OfAtJlQ%=Bljd6b`X5q`aFZu+JGbD)NFdEb>^E{D4|%_Y zl0Le*Hc(AbY~8AyxxkKr6Ahg^hajRfQz%;OP`+T8{IysK6WxDpQB7=5&4!xXiDxLn zDoc1uTx_}shn&t*Sr$FLp)5IUnh0PhX2moVPOC3eC0@i-?15f2Q5GGxy0oYvb_uW< z;l7Pd`c{}KfcCE=TL6m{#7`CRV_TLqTuVSo8c3cKJ3m5R3@kzcK?w?vfj9)^iN(Eh z|0s|TGpj^|-Bm26?;LV}Pu@9lFGB>5lB#HaBnkfE_@MtxZV!J3%5QlJ%#{3&hm8V+ z>D4ML#-rLV${vb=|7E-_Kzl%M+mps87mQFg>}oa0VtYscNdKjA{)6;@n~otADBhe@ z0wg0hrJGlZ=S)7s)c+9QV2S4^$P*ber(Ng2+Yr2ug5lAuldWGG}x!qg@gwfVH=(dCN-tlu) zR{o=ZJ#=WQt0FBFP;B9N|yBE?fmW-%!A4_{T{FP}=8>8I#eVIz$c*Tf!w0^bte zgQv{z)(qEpn!|l2nhQ-xOh#6vA2E3+nCdDnic3?UJg6R%H23{~k;fiYdGIOjhIc|L z?&*8-Tu-sT2ni(397H~=bSKnc*WDwd9&S7{b%&DfO0G&f@eR#j3B)63qD}!1E=K&b);C7yz#Cnq7l2=S7Gy7Dp$N!@$Z7H$)T~ z#b&AfB1b_qK!Tz$eQrLCeVyPknzFDk-sT?5bV^8`Vvz%}JUzr_SZS)VREOw6M)FU6 zzRH?%nVwRao^siyQaq9xrMns>s#+05sT8zwJVHJ>wuJbtnE0)TI9)&lPz?*a4392> zn@+_^jgnNY2%}WIyHuP?ITEB4DU(0_TsWO1k^Mc8M-|7F8qBqr=&zjTVmd-8JGQbV zUH-J1L^ixwHoQnSyaY6yFm!1E!mbcBoH#U`C^VcTbeIryX#|22G4?kM>^vAcAuKu} zc{M+sQn2fMh(!tLu&)OEG73ac!o|)bp%d~^^Mfe`LskYS$cNyNfVK)in8m`*!>1Es zpc8UY^TR0xV^jv$3EyNEzotk%h#RVkANn`C$u+v!Ji6&Nx(Q`=4m-O1IJ%4)Ull&O z92-|9{nf`;5yw@HjV{NGPAQB|VI5jn9$L^jHy}DU&^xmu1KZY++t!HN(qlF+{5LPc zH!p%WFQPXu0yif;Xt*nCZ-5z@uxrY00ogchp6G4gO-3iD#y{Qc?T?dR+`Vabrk?Hw zE`oj>|I3})?3}v38v*?Uj_sV{WS=ulZ!$1CH}E<)a5%H8A08JAPED~CW}urda{VPh zjxLsn{G6Ej1b#`Z%YsS#CwUVym2!1!WOa#MBWlM6;XT}pbge`2xCtrMqr*j8*b&2H zMep3jpWc5LO}*b=J?5XiUWNSUUXA=+@ADzf-@`);^Y)-QIs-y>^7d4%@Su=I^Y$>U z(B1@n(vrY9H>IN;RVcF6QW6P{iX?c;So3NNxpHKH>mHB1l4_Z7++1`)-C*=w}zx`!J0j<)DZ<9gsOL;CH{=h-%%!>=2O>E2B0J-SPDdgDuzOB;Fi zolWf|toT@vmjScmm}LjW#EI_KpWD@8Q=n_hYU3tD?omLKR)dzv77-H<>b+40Dvf0Q z6+x+PWlH7WjG>H;^?%(Ir^GSWd_CyhYKWh}rlOd|RDd#%Yq8uvpxsMu;XUtor9t2{ z@X=~}QPNdU@wu4h=m!_2Lb)y(cZF06#l&kDMI_^dd4~7`-+uvFK&HRLg^3XXp)vb& zcMh5igCiX7PHQb(*fjuVvNl%TQ)|;Y)YDN&p4dHI+a>qyn%1*RX0M*11sxueY4fzj zbx!HgtAA%osGq}Ea$G%&G*9c4(W`H-)J*?+y)t_D%1F*k6IYaWl#=bt)BA7j@o7Ce z2e-~l?$O)7RlPb6zhds8;g0aI>R}E?WLVYq;xxih&3Wn=x$b|&GIxiYY=ly&~nEU;R?0b6}T>o~0Yq_NlzaBE~ zr+>%2yEHxA?c9x3{PXwj^WJys99_1iTNW zuUE63J^M|!z15G7-Qd%#>B(|W_U@a!Du21lgfp$HJdO1m71ZfKZo#-hTSg}ItvmW^ z#M%dUrfrMOI`+v7x0b`J94+{fPlMED+T)J{sNv3?ZH|x1s9R=i)Pil(T3IytBg6Bv zEH1($+)+}vw4{f%sMYJ^W_2$Z-$dq~OszJ#?d!}Bnxu{lL=2TIYxQ;%9pP0r;(x`@ zaSeOB-j8|R|Mj}S)!$cLSHKZ31xi_)JDNF~eZu4@9t@mTcvkKuathBGW%9>PNSSfOrnU&5Fz47(Cs2IIk#CX)>ubVN8{hm9opDh zW(NZX4EVDSI%oV7&6y5QX>U2J;eT-bT_v;sAt9NJk^*&G<>vXVC>CAHbx~mT30)F` z*Em|%e7LJ!+P%&vVt2R7c+$7rFV{wEyZia}NvOB%@&2V#E*|KWQ0vp1RSplXdN1{K zUecB+bK8xbUNHCW`_)&p`=!E=vMr1Mbg4&wYdJ@9;S~#~%rC#O@%7eE8h^Cdz4_A$ z1?McedbI5ALFp@}b&c|Q>iL7$#=%iJb~@ZJR@b z=iZtc)jf2`h^HPo?Iv!z!8g_@H|xu+O4TMtu3S0MXZeGh_d>Qe3t4EZI`XNf|DE+s zr{!#)J*w^S6-BBfR_fz6y?;yOZ;tJqZ$7Va;ZB+R<0dUzTXTbD*NRKOO(|EzD6?&@ zalfP}WA{WEJNZr-TU}_C>%?O<=blFyUj4^1Ht2t(Sd?{?R!Q&kZhJ~+|JG?;dJ3)Y z6td8;h_JBm@bDU{kVQC89V18mZxk|9oc#mwe_5DrtxBBd@BX6V(0{Fb$nX^p?)G0^ zv2}FR*_cn(O$oozE_&hnMIz!C9$i0iN%Z3CmxEi~uUfRl!{&p}diEc`I_S{{iA(NY zK3%EbwGv}0{N?G3;G8Z>zcGo5X(8ipDiN5Wc(m>Zf;yEq-37NTR)S$t-iCvd%_+R`~7JQ-d?dr(Ev%L%ER3E9ZEZ^6=ZZYgNE{k5*eR_)be~vS`qT zjc1R%>^-ESW%TCCm5y#-!ujP(1^P69vm&wVs7iPD?rys8R)2-jCx-2*=k^8hH7z^$ z+5LNA>L5Il_-BdA-lLjhbV&Yl)&2mUJ3jMx-CXX)?HT3L?BkT63 zZ>bT~;FEwt@#P)v?)i=GFx&NOsUHOmnNxB}!B@VkGoQ3f>z=bDVDy>$GjcEXUGT7* z&+=v+a~==f;WfPR;pS`WzkF0{T93Lv-5PqMSnglCc6F)vQv32@A-1JU+=`vI*Y#a= zywl;PSAS|>d)nen{@0hj)jVr{aUU4%1yN|8lNhb zZG5Y!cMDX#b4?B}?}OD^=pW16$EY5zE>p{YI)Cj+P>Q8^Q6?N8THI0W@0c#mc?k`6 z1gg3a@VB}U*Q=K(4q~FxQqwvmXLk0l(=W4YuZ*>d1EF1{1&}6?35uW+=^Y}? zh<^}4YUm}>f(3|xfJD5~r3R!ZAW~FV+U2S%O?q1pktQ7hQ3M4x=vBG*y1REj?Blba zX3lwM-kIl}|M|^1=S}f9b3eYX&#Sd%dDd+!i5vEE^ zCwTgO7ujyJrO8GcWn3izpHKzc2~sdgk*A6nbe25&`ZJ0WLpTnDB}q!t%Re;eKYw9A z)g^1Utc9K`VglZ2Q!+ZOV#Lj+gYM|BXtygWD`iv!;Bt8~$Sv%#SmlNyW*ge>F9fru zu81YLcZUpc2xOa%9;BrncG2s*B-w$Lz+O5!ssbZG4hH7mN)s*!9sQ??sp;=mAI;7z zo1G|3w|I2IX!6-(1t_mP<(dIm@PDVT7je?iu?eyOW`G~HoY4T(e#bIs5$UWdRw8L~ zKm4QgD2rLb>4{sQ@n@FlfjXd8mPVFZgvwuM+1FtLRoXiU`b07FT@=#=sZicUF{S?| zk=qFvjnA|GnO`&z;PjE>!Q$E_b6%>Y^7mcmph(XGy|p}E{x+5@=7uTe~ zZ8IDvpm$BSuK189|00Zw4>&WdV1;N)e#*QU4vjhC0fl4G7o>F42!EY#+?yNby>m|8 zICU|eoV8Dv)^e>q2hZZ0dw4+>$+rPppsL4%V(_Jgeh)RuywkeIBz=?oS+GImGP2AA%AZ zgPIRdQa()Tz=|D`w||Yf2$V5HdXR&KndiA9CQhds_V8(YT_8I z09K)B%+&V?4U!lbsr-KZh2PxHhd%L}|3~l}R0I!!RAMU}1b=suQF+uSlUiz1%^z3p z|0jB9Qrt^NhIDU8UvQKAX|#51{7H(5sBv-IFfT@I?_y_ur(v-#D9k>`*lV7^r5C?X z{l?v794I~rxJ{q0osVMNy9T98UW#fJYB?x&C4FTT&oA@w{8XgC>=Y)4(jaQqOy1CH zXYQ~r>A0s(mw&yU=N^aemwc{mb}yo1Qc_z^qA23TNmI57TA2^7*RO+~SKgck(>E^l zC6!Mjk}kdPg1=#UXy##Bp>;h|7tq&nVwaF|DoC2>W(d{KUJuJ>*WqL)Wri)B4BUd; z6fkBA2VkJ~!owG$+SQL`%`-~`1JzIgt*Il&F2_-9X@Bku>?!@YmRbgB6EQH~UZ;Qb zt1#;qdKXexB>&&h`@4nk@8}KNO>e3P07H{M!#DIg7)t&=eku01Z2#x-B*BQq+!Xz+ z++xFX7ORYKImiDS>i?zEox0Yrr07RDTFt}5GZn=FLv2J8BS@*7uaA`n8@#Zs?tE;e zTn|TfjDLq+{NA$#ZCTE0Z2qqb>Pa?j`?Gd#j(0r7WziA?%0wU z*YJtL8xvDx*WQqZSMe(h$Z*=3pQXh_yg$7AI1!j4w`YxU%)5pclYY&Og^*B5Ig*B# zePRNgwZo}!Ctnp-8Dr#=UvEX}`=ihY2&|2>-hb%ra2EK;uPnCLmijAs<}g=-pC}x# z&Z(cPxyY)1zQ>G!SOlA^108Wz5FQpzXxAWT@>}I9CyR1f|14>Q&uVnVtdp5JPck zT`?QWS?ue)7b)q@N4;u8C43p!7yS|SsiX!8^9QA_=qQT6ZG|TVo>zZIXNkjWGg{ug zY-_}%F-Ek>sWx5U3Woy?TDIhLY~=)E@_+ulX8VdjbNU`NIpd=Ed%1yy!e$y{AEq{t_ zcZZt#0^clF6cpS&%C(aWez}njMTOFnyi9x=Qy#NgS%Q09vv1n|s^DJGVb?8eC@g56 zB^@FO7y&MTJwO1wJ~g?W0AD~DFb9Z$H`T&Z{kGIIXMji%4-xy9!Rkx&_QDfvy`723 zKm1haNDx5N3xA>8C>$1UYa5~E^M69s`E}itU26z?sG8Ktw{7QoJ?!1%Q$}S84r@_q z21f2G<`v)Ef6(ZGh$w5$xe5Kt!C5%J<2qGD|BGPdNO+=Am^Biz+Bhn3 zztVj)vm}0hYgY3bcbG}8g~=eeuqDICsv55c zjvv@~sZJr$NP;99v2Wjq0e?lJ;i9_OKdJKC56c3+zaz0rlV?EQ-I8SewzC4EKK$zl zJ!Lv z|}mIP^RnBB#mvNL2b_s z)-i=_gZonDH=!C=j~vS*9kd8A=^Nbh3&Zm5qigEOnS>^bmVS+vN1>ef%va`ysa_dZ z6-l9tX!c1zcL!E$_M`NdRxBQW>@9TBfY;%;YLZ#R1S6DMdJ$k@yXSn3__ z&Rpnqu`Y-DNRx4uG*&Y69xCN=nM?RYtf#2Z=xiiDWa9|EqDRL7LF}vw>)U1P4$g(G zvs*VkZ?~dEdU|@K8VXV>`cjF~HrH$bwLaK9G&HnI%cz&Gl;P~m*FY{ z6$3FiHkS)E0V@O`GdMZ7HZ=iM0Ru5OH^{kl)@U0q#WeVj;4L~Q{&^~jzu zVpyB%es#pbhlpYy8J3+hG{O=zk*IMhkr*{BZ|sDad$*q@df^G8wDH3xOdR58+I^bn zmvd<3@Yo66dxbbI=}W|QXtiik_UM9MwWE{JRwYr`-KBGi=gzA4pG1@zf%dK`T`B?kqd|50c!bmkS;%~(AB^~Z^ZZ6eA) zR$f*-{hiPJE=PY(3IM2g-=khu87Irz+_965YMHD^bimOVC=Y2cPMYJB{ zi7uH_yl`&lFM(Z9el5yduPmNZcH4WSf{31fJx*lFnR`{myyirb^G}iabFV3zyK~d3 zc%r^V$gd$OJ5z@)Gfcxin-=0cV-7Hrd>&31S1iL9H;hX%{C`=kpEW!18lcL{2ch4S zP4`3S`wf5NpnkR`$M2$RtDW7O4Xq=i{(1bFgCXIaTbR@$V0-n>+fM9g#%8-{_}CHkFmrljlp zX{IcVP!9McTWALz2RHM_;!;kfPiQqesDby>XLJHN)wGum(>@NTcj$9&%lkQvN~nzP z=C*W%!e}xrqYYF*8>y0Jqee9qA{Iq|-KdB_T(X^v0Xbtizk$Zsdq)TWZrJ>h*=oH^0oP0ORgn^c!ec96l zv~-@TQO`pSUXrc(=n^lT<8c_p^|XqwQlnO4;T@cTe!?-LC0h1zH^@zLIiyyA zdXi;3tFR)HCqRmlF$%@YFvBU3*Rvc;Yw0aoY@Fb5#Dhuj5+6&XCn2l*X|;%x8$`s3 zIEfziJ-h_Dvb1Mg{7(G$A2HJx0fl(^8LW3A_MV23WKtbwDKNi{9D;Fw2Vhl@jP=@q zJi)Vg7Ci&qkTUW~&L<_0q?WYsZ0PQ68Vot_XvNQ?#x`iyZpg|f&q}X6eKPgPb5V;d zeVhP&muq@N^&bw6%cHs2l``GO$cKJ8=~j%s4ax;ltT01AcCeXT#yQL<)A$i);t+kW zc4`@Vd{^z#LP$gI(Yr8zj_J^weHcS2dWa(@a!aWMtG0r7&}7zWC{Lmlv{i&aQ!*)^ zMsg0u{u;(T8EcqB^Enx@HQ@P5lb3<&l^$1<9r6#OYbgc2$Pq|uF_H?5vvdt5gRVu1 zD2&K6ie(r@iW)~Db-~G{tF@a9?T*BFS3`D-p;uE7M&K%k`0uL)*quAsWaV#T6dtfv?tHQB5b4H#@AS}g{UzbJ+H+M?@8q%fk*NP9w9pO zcFq!n(GvRN{|yhuzFwVhL=o8K z7J3~Lx(}M)$UFIGHBMzL5ckfHU*W&C%+;6Xo$If{4LnY=l7VDDk8E1Pi=Q@L`FKN) z!TPoeeX@mr_8wN@HtctqZ>FnNSj)94y%}09-+#bZ<%9XCxjaP+)cZ{A(HrSOS`SMC z7SUL3=miziC`jZ(=tdAU;9*GOQuqfZtix-dcd;5bAy@XYp4Ri1e1^|pf6wM;`80pZ ziJ}xzb7S9RQX(Hi%BTD_??H<%Lkb(v*Ae&)Z_<8$z8pT=9kiczDsS!{x(yl_MqfkQ zcYmvOeXgkDkgH}doRDDPE!+@UC0k}KCI+RcsTC{4Oeun+C@?mecE`@F8Z_K@9wrg*oD8z_kq{7 z+M&P47w_CZ*ulTrv40fG9qnTiNIpKR>D}djzY2ZU^bf)o>+n0F&szLZ*dk9@6?mOL zs5nCKD=q8#dmMsY>b0ic_4imJ8BXz#JaKTFD8Q-G$eV-(r(6VCM6Bq*vyoE4Z}2?O zX6h-ef{5kDi&w!Xby)IRB|Qx%{d(H&vvaK(?WMz)TQ0&yEXHsL{hNc7FLIyqRocOS z4+@9Ajf3~0gQXW8iQH7hvP3ofW0_J(&tj)ugKjHvA8Ci(^r`ahcEAd@L#p)boY-BF zsJB&lcKhHv-3iMo{beV1*&vjXp7Mi=K7=>24?1@zrQ%NWIhEl?VFp<+9y9iiLLUL|03EQaAluBRVG-UWjxV3SU2o}M(Z@JVha1kvM z{cxh}1Uhj}?UZMMDzR3PlQ-e!)tg6iA@^k$-krGp0dWVCcef1eoq_B`?ROETgJd5G zTC{4*)57QHTa$B@b>Po8@FCTIr%kq|Y9`C?BA$C85~s3UIghT)hpg*y6WOco>^DGbcBBS#GQ18uG7dKi*;5QwS8_-A_b!@-dJ&kZti23vZiAGaB1i-ggObtiWSXIN zZUXhe4qdHIuJ-Wz<&E5eeKZ;STi)PS!S1#J$y3Y(TP68K%Xw$VePXtkWQiz>=k%6c zBzK?O1339q4cX6L$U*jhFWI*vZh*&?f?eTb*^)q8^RP2QF(bLF$H5L-)P9Xna>BUa ztK{-WxPjEcH+hc-0grZRW8XJ~*549Z^Hyam zQ(G)i>+cK~v+ujZ#or%Vb0~C1vhR*8Jr>E*O8LH1NS=uLX-Hd*xt3f+tsW!Rh~-w) zIuCo`Lb|A)2;vj43im3uT=XeW>g}3VB1}@;o^$5_k{qN_0c}ZH>5quo>G#xhtSt?2dd)twJS~?K-j|6C<{E8rHHEn0c zJ~{v^B~Py#!PU?~&9&23wvC8$J*g-3d-a z?m)CP3i^G21~m(*37)wXr(7QFe0!wHl%6u>{7P$9_*FOaoqQeYUW$9+E)fIY-gmF{ zJ?Rt#yVVgVejI$Aj>tPowHT2DGRkNvB|Xw*(t^VC9R>ozkk=O_j&3Ug}(dv z#rN`GdDcEp{NmdN;oRE=lqdQ+oOgxL_ER*5qv3NB{LJIfzDd*%xD+cI((;u&Rq0|4 zbg2yGr(jK%Vhz&Jw!ixRDF3U%N@&Lb4#6$BCr<}y@D%by51tPyfp_f0`t5^f^)AvQ zpgR$NoX=yF_6+B?xUHYzs}=R(EU8)i6*O$W@*fhReSNW}@?2boQ{f^jgO)th#zPld zHa3A;r%R9hdF+m^u;n4@ERr5XKEe>hqz$iDv_pNd^VxQJ4&&tJ$sVFTv9(M$mpfO~~S zVITzp;ghZNq7Fbi#Th@sdy<%-Fp)X}lPKOe17AmC z7qS7n0#9S-cLJu69hj=H8+A5*pzf3a?4htHB?5aSlZkTO)BGbq6}BCvXt(8yZZ1y?`0i8|YHsaxAj=&}?0N$(cK3WJ|OV=5PapFpRfUXCw zQ@Ea%81K^GX(@1n!i}`dI7FN12H=AVAEFz957SM?JGik*e3bqMd`#hgb1K(Hp0d0my`yo98{7B(HXp6Cz{z8U{@u6O3wk0D?CBljXm()>VTgs{DSI@M*0`+0Dh@|@FeX7eg)hOtNuLj zYuW|;M&Y-#+t>v^suB1-?E(HkFBs3$X@zHKFYrg&2mA@RlYXWbf&ZpgfWIg_ORpL` z=$yhPdJWi2uNw_yC~R%hEy|`_{=c;8$$#1Ozij$nHvRv=rmy|WrvGKr|3}&M|Cjvq z?EgiZp8J0*^B!0?bn|ueW24@2{Rrxe8kAyQAA_&#*LqlKXKCJfYp9upMA9dy=wp0 ztd=V?-<2_VkaJ-AfVBR7`}FSBvq$%CsVR=GU6PX$6FS>F*{ty$<2uB~L`SucY!}fs zJS;RMIH*m3pe4ZU?`P6A!IY9?A6jH}ClWbfM&oi%PmYC0#8gIn?STXKIQy$8L4+&$e6Zc*?i}#Ba~G7h2t?RD86GuU7FO z#BDZIu;xUSXItG|WX*98T~J{D0hrKJI5Vu&z2)_YY92U)7^RF3UabzZMMSH6gOv;+DqJIAL0&is3Mf5 zx^equx&2i))>(2kw8C1G(pa^!E|f}&9BroCrx#BxaBIbdvX3wa`ptH?Uvxa`{3{v{ z&nmcorFCwsR+SSq%PL>1s&28mH;pT3ooka=Sco=IS0oHAsv3%}S3-!n6RhY>EH5l@ z^Kx`)l{1mE^31Qyo+DF=F1NY^>_hD3RhJiGX=19}H2ykUZA^@-9!GsljutwmgR`- zBcKp&YpE3@DX?QsX%foPsH!v##qbm|YPqLlMP|7JvWlug(`CA>?=~fb+O1V*2pV8N z_0@$b#oiRZgwQi2qf$>=R1NvQxZB}yckL=w#6JsbiZKpW?|oBK7SxGZ_PL=}1P~v8 z3FV9lv2^2#5dEE2VU@g!SLEtX&A7cD01_sR8?6n$Qud^R8=+9ZXH@xR8?GORF>GS zq4uhJEm2FXnwwMPThThBVMVNa=*mJ&qMXyAt%8Qs*!k9RH7>q&!jyvgP#E-oTk{KQ z1&gerA%!)akylV}h0Rr|q9s+nS>+q$GBmwbm{nG6y^E+)Du0urU3;#!b3D4p4MztQJ`Uc2obsf^zA$=Xv*QxY%#HuNOTNiIr-pKme zkVtO|BAFJgP@9CiXS`P64JT`pYJ0`+&MeXzxPEeH4Ncx^1+WjIC~p~BJHFcpqMdKAK3 zlnX~VQd7Sf(njDt8{u$&yvuNyHncW7zDMRI!3 zSTHih?U3f}klXE2LxkMK-I0^wEwKu`1#HslL0v#epkz>gCy)(f1z`oXj#$0bpjDtd zK(~Wdf>vNPBDOeoJH)iUSM^=icU9kweYf=8-PeDICD?c8Mn#C=;KH?_rx6pW;bL^aP!4&+BM`Ci2td&kk3L|EvDf z{9XP7{JZ(5`X~D*`P=>D{Uglb=1_C6xsBOkHkR0e*! zL|w&0s>wct-Ql^En?EGV z-QSU0=WmR6r#W)n0eP1c)G*&sh&Q*m6-QQnK^+@1X?d)4U+alEW%=!~-mtJx)+wmb z`S#m?3n_9zMpQ=l;IIKhvws7Myy!UpL^)c&U?g$wdvhlgxSxtEboY`GBd#zPl9S$U zy+{-NabXLY&Kt+L8{E43(L&Bu*^(^WuNBKL{~qc-Z60WgS{mD+6F)(LaMj!3+7|?tS*e++nKBEzOlAek8=*HVYUw~* zYy&^x%?d?wn0*LE<;=>)zlsWPUm(kJJnYc^J)A%x9F6 z1?PL!rb7Nn6Ph78NN+1d`sd&~DHdTztpq1F8ArYRiTsOkncv)G7~%*D=X=?I^W=l> zQJBx8Jt0k}L+ZET_aUt}ze!J$i$aioh%_cHASc~L*V4N*(fAIjHhP%8p%fZGVHXXp(&#hr9&zR6f&tTWbAFrC)on)Vt!jX9__k&0+O zU5^n|(gxbkg<_D{ZQQ2*2D=QY+v#<7K%t7@(TzvxztLK%r(JY_-lG%D9Ky+5$?xzX z6E*E?-e-(3N{p*0hsIDIRU$Wz6F5^$(WYpdwIfZRHXk!OqV0TIKnrPqF|AU6pMHei zrw>^Z7LhL|YMUvR2C2WCUIUqJfFxg~qip6roX#%3nV%L5bgfB#tJJ9-Bsg42e+{jJ zOdq8!w2$7Rx6$Tz>KCEWSp11Rm6z~xzJu@PNBL=fhEEEUcu&)`8}yg-lg)<>i}8T* zB>Ih|4rGO|n}RhMh4tKjPhVnwT{(p__@Hoz6pi&ZP0h`{jbX+z<3-~L*(nKS2f?q+ zq0uxMqrQ%Aq8;=S>h7mE=`;EXQqtJM;gE%u?L3|*@O+G7Gk?QPA`tg%!Az=30fjPefV)a z#yXsHG2gXl|0th>I+?{%@w71D{jSzt)uZ*b&10J9G_P&mYVttu5B>(za=DX`nE%am2W^CY zJcTiSOrO(9toiqlg~kCKgAvE8b<4uIM`PrZ;WEttmGk9)kbfmtb1m=WM&84(^6Pw% zKjLq2d2T1Vfd*n1OcXOPhX+KpaEtdrXT-m8El<&UX}xi)D8g)R(Qd_j?$bWfPUu2! zr}xw+=*#q%O`2)C>0Z-1(>~KHrZ4?M{Vws^i1QW%kM@SxqYu`upiQ_PXxf+Jpm6dM zah4w!al8kAy~W|al_#>qK=?*GpyhKY!hfBg&CezxDAd15wju5psoG>cQENl<&Y9+jAmbMObCb{x!VV5%p}oda_!Q@fNJwjcptytVcn{@M2uENCz7Nf>hufK^ zAJbNfk>W$7T|sy89?WqET_JYxLs*wI?8j?3kJoEGX(?X~X$+vt#og3N%oUxW&lBkf zzLDEu=bpvdbQUv6*MdYT9TJ6D^|v@&bmOJa(>b()t0;w=xRKrvYp5TWX}iuvHzf-` zcZzF&wBc04XZ2V0SK)l0g(Tykxn?-rA48|tp_iA)rX@ma(#RxmkHdZ{!d?#(XZU(? z1`QGL;dZyMJ5^I1p$?_XuCX>??r}x2%e@=td-*Ay%xqj4i zql|0sXs3-r!`3{_6x{p~ErN80!@8}&UK&n+A8;gJ#^ZEDP3AqyTLRBR%rzC@@Nw5X%VdC47!r8hUNV`Jxw(@p>iRQ%TR9yU5@mB z3Y<`jX({&qEwmCg;9h!+-WE@Zjkx39Dqa)|#4P%NKG0s)Ts(;m>9^_2XaerQ=qaMR1*f+>f zpJ|f+3B;d<*7*6?ahq*|$)wAOMixJR6C%%PnurPTmub&2MVrSij&h6%J?$Lb7?iF@{ zs4fV4|M_c`PriwDp9tm&^dfla14Vlw|xwk>Ga5PtSD-4hS{}iw5Io3N^m3jSEhdHM$z#yX;*| z9fIS7JB80NckB>O-8jh<)X5%f8x)>0$P{ie1;q@)8ocpb&(4E_qkBBqz<&S5*pgw*<>8k(tegFSg zwF@DG94&uj<}iHf0s~0m+AxqM5PFIb9By-9@{9s$5o^MGv!4g9!0n1Ep;-gQ%tI3>0x|2J$IT=&p=GWJxGYdTbKn z6ui<4h}OyrwI2HdE^l~Pl~)Ra%1Q+m7ow_ig>Z53JHvoRT=26{_!uY@HxyD$A%csz zAXY;Q3X1^OSyawhsC7Ug;#NRVH2fx?84@(yf)vDe1q-BqgJDNXxIq6x2jY)C1$me% z6PG%CIB13X=DYJHo|9#cm#F%4Z3^qIrm+uN z4`9O<-8^apHbY*aNl2g0QUy((Pq zB!2{?;+I2K$s9g}jS>p%PWlTlzJ1{4E5k^Cl!p!{nlY&qD?5f8Uo885*(&bw(xc@Kuw4apT-Zn|Yll0>o4t_r8vI&XV5B*oST;-5| znEJKT_b+X~;F@bo@BSKN^$&x8p~O$Y&U8P zTUSym@^`ha%Rkh*mER`q=64IPNbm6PNuTlGN`rMQzHhS-hKXZ~FoS+*Bx$!ZonS3> z@Ihq48u1f~8cOIH1*U#uD8yxo==P0&dS_D&)SJ0ZTcoqOh^h^Tr_0b~ zBTbTdWX{r%jg%+J!e4v+*TvZ%XWbIR2&*2yJ?pD2ua%#_tdvBP0M}}dYtUP(% zy{rFc7P&sRdDh(@onOyzjO@N<%iM9x&&IZdmQI_#ydx*rB_`2%&W@WW-377fO>9rV zFarc|L!%m)QHWGEYar+sVOS4;J{<@=C%Qdc5zREXnwsZ4Ax*oKv5Uu_frXxB$9X;cLGW2u+Ul{0M`Us|@A2&YlV89WXBx0b0ZL=;)t49Hi9gcf!ERNPmT$Cy}>bNS=xom`!l7up?V^{im$Qz*<&?6cvMB6bxv@vk3p;F@#g-sL!wmIw{IQ=rO*C{%Y8zPKURX z0aCb)yoE@Tvp2()m&T$+^xhUmsf|&NAqXEGv+;+3mNNYV-DUW8uo%AJwK0S-e>8Dy zqF0vvR=eK{@msb-;j;w{q|nk)I_4d8J54hcg4Of#ek$Ra&?%9R=Z+O-GS=s$ZQ6pNU|!OvP!m^>SzOh*vPugwVf7=-B(0^HpF13 zV2yX$tK|=DokWUBg;Mn?D)lV+3TUh-iV`mJp)KLg`WjTDG;Bw$Xu&Y0>mH9|$3Qm_ zTZno6{dlhX$|^x>D@Q==rec|pM)8cBb#rcu%~kEJCT$riWuOorE~4KW9zu5jmy1Oc zG<2q|wFc6E+(CTCiDK1pgGg4zO;})HFdZh7!+x#Dbe&Uhpii*&W81d5F*desn;UP8 zH`&-uHnwfs&c?QFLAK^{(Ne$QU8E$MeUUb`TFpR68Li+rYY+5cUji~PlrdhsD@9hxu5ZFzOZfo=Gs zDe$1C?W}jLx((q_HU4(Wv~ zL&_X_BcL@f#%a@RpFVUJynT6B&$_?U3lBh~?~<#s4TeEAj&i4YbAPbj^4zvX-l>2p zw!1mMnarJ4=9w8rlQ1V=i#^Xh^J)%imRwi(V({Z^%UwDCa_j>PqVGY8P(PAn0?EZptWhFM_y_DI9tp_LZf}t0@h(Jmg z8sg^2(>7e+fv+cu&}fSjeu2CZU8S2vc+`L?5XRz5m;o1O)VD-IB>52&0ZX9OQ$rDE z4=ikoKK$MluYA}U!52pC4fN?m6$7h|_L_y!z>TS7ZA7srUA;ipgN#K;^>)mGe)e?S zcA7I9cl>}NUSU0e%c~e)G6}JZ1M|20`r91b^D+LsTK)Z?_g`M#F8*z@25s+AU}1w9(fE)4I;O=`E_X zl*`i_+%DBM1@B;9#8p#uECQGwQ4vEnH|cp#4f==epb@K4FwnSuW<~4?(y9F%W;1sS zKcwST_z!bVVGxUzqK&RAkr~hfoq|ZOBQ{AUp=`I{F^j;3d#1`MwtlG$1{<^~4D9F$ zCmH3*qciX$V*WG5haF*eOCsc#;GSjM`A_C}S(lyrkFBe@s#L0)i@^0J8%|PAiv(L4 zLL^Nk)NH=%@$q>8JC7jY9TO@-{P*foZpsvt#ywy8B$x=MBHM&>#)(<-P5c0sx0Z1h znJ3)aQc*3OVY*iOsOSKs;H`p31VBGkqGc82zGC3=xoOUGHBbDmHm`Lry%R3Mvnze0 zx>Hid2iqF;7!tXnV=2n%5f?5P2>ma{H!h(2dq;TIQ3FgppTYGo-gb(*jyvX~;EsYa zY0u z&!L)_KE^JaN`AtSR6nI>2vE!_DC;A<3r020vlS~VV!=?}?1kj9G*eBFY~pq(TF`1A z5{b9=j#iji_`SJ)HNT127t$Ug0=}5r$e0eT$VZSgZG;p{jMIBHH_7>f>N9R_{Imit z(w@x|hQ&Vc{=x}A-%FRQ1p4rF6YPz7eqjI48>}mI?FoW2?iw?JY-VH|=S=-h{jAF6)63jJebPjl(5=#3%`PJR zllNW*M}1@pn7DJ*?gJHU4VMrEMf%`~r|q0t259E=@aO0C(puo{ywYb+Do8<9cOUkDGr8_$1L~!_5mlQq4}~XomMKqi z^*#~Q_nk|)no&I;Ymh*W7uwOGEWq`tY57|r?Jp1Fg)ztENYf8uo;`ZgH#vIeb$#m) z*cFbPbmUO*?qVHx-`ea-#bf6HorGpiavUp#+d^&5+!I`~F^VxWUMzUCepLN2P_{W( z5z=^jO{t$*K;+`^Z?XY1Dhq~O9!b13D|Qi*EZFlP<^yu-x22b-x2?7a ztBRu(Oj?7|B@JP(d#4H>*FfjDi{5mvr$4f=wjY=a3dI(y&3S1h#~z$|Xi!|qv|&k1 zBWK%Lu$N+=q0&sPTN^^(P1L<2jGPj`#|-95V8yDO8RUh`EZ-9sx*re+Lu3B#*q@nv zDSC7RIBm>Ndhrq9#|5_cpGL?f@Ln!mx}{Ua{RiVkDG0LvNrJo%seICFUp5=iHIIxt z@NG%8O>B*`WL&#%f0s&a*0MFi;aO4^AVGv0i!Tie>^mibcKkHn&>3s}_m$c!oJ=4Z zWw$APL1`fA@NNiCK!sE=04f<32EA9l6J9Gpg|u1Q>oC zZs@{}=+ax4M=$>LI0K&usM$DF1Je8iF{c4W`dbA5hKrAehkaafr>!|+PpKk`tJHc= z?~}IWBL(evJ?ivSNC?RLInN8;sjBYP-#i@%BvuN zJSa8LcA*algc7{@Kw_4ep&|F#_FVQ9husIOoXE2$hY*>rW0)haqkD+I(cU5sphKup#Mn^U5H9-w$dJ2t z+JwU1scAMb0kb>^nXKOHUE~|X8;lyD@zXCx4_4R6#US`Jb+x(uPSYKkz^!DSpVej7 z)&k#=nNxLMV5(D05_G-BbJpPrG#5*|;Z$6Z8QPs5;m%96qG=)a#Cr$Zytk!lKZe4!Zy}e7AP! zkV*s;#4d$GHbT!$-&rC^36$VLhH%+OP^{5bKO=H{;>8rq?qB0h3NkBU>(ePTRR^3w zEgAHoRKX|{(!|KJUJLmaP2|ULi1{VlKQZRvkdE(y8*oX*AM)jp` zFw;=DEAi2MC%#gu^LR1N3cGUJy*T|aA@1^o@+|+ zS$He;tvWYfpJ4Yz_sjqu-MqTtrIL;noRgF7>zZcepO7?v&JS{gU$SPA%~$hM4G#_S zdO~PFMtMWePdwgrWh3q(d1iVM1U~9|!UJW%Q^A%afwFs?X^ogSZhxi&CXMDP0bid|dajK{1U? z8cuE+Agj4OJjfU1oNh9rGxbnz$rPh^=)>x9XhvIW!RNdER*A?1+6y*W^ zn8Q^-mqv&a!kI2ffD#G=xSHNUxif_U$v|gj0h8{>P{L->CQXm`*s<*N>&I$Ix2XDg z_Gc!+z0_$ShZS78u>J3?^f^N!U&v_Mu7|6VqL!PS;SpfPME;j~xZ6Vli>b1g@#oye z=Bc-HECrEF)zsVuCSodAd`v6lWhA_Ho(A>slKWwMx}q)q@)lth-cPPAeZXy=r)`XJ zer?*JhsIZVpr|d4QE@@=ukQTaug`h;t~NwQ-SzLkU#7mTTZ>=?U%xvFvSap+^0uJb zVUgF88tDOr|8y>PuVSQ&2J2gHIuA(?m;ZG4xr!X7xAb}nJ=K4!`-ppax|x6SPo0N_ z&efC%TE91cIbJ#5w_JW5r8K+IEvq?9fT{sPj(ihfU4HXR4c_>KxC9?N(Q*js;<%j28Wg177nWby_jETogJM852w_ zoeAx9!4qcMBnJQXs3C&yv&Btb7%c~%zy~<%d?kbsb7_^&T_T#|7kkavwsQWqJ1Tiy zzdu)r<+%8_4bx4;o7SX`^_b`wC{3+B_x$HbljG3affbOvr=d98Sp~t&(z~y%ID88FEr5B?U-C z;Qp_`kzGo;jI#*A7dFm5^br*E+kg28|8wF41zWklcm0hzWI5jcgYpD*Y;X}GwNo*Al7@d-E*LEO0yarUcH6|(+w4f^>i8ecQcOl;6}J1Kdb?3Nfax3Uku z7b%$2&MSD=-IH zb&i});`qsXOWqC(J*@AikQVSG7I%CDp~xOe%LTNtGgrw#Bw>6#&hZO#_lKK_Mseo1 znl!^JJ#AZ_nn!hgaDFEg%5tb?5(E8s)Stw-$G!{){S1EJBg%70`E2JKQt(?yoNyW{5-EvY9+`8c-{^LJ$t|L18zw9|ckqHbsD~ zL`HyOg!0v>BIc59fuk|>&5ZwJ9c91fe&*r&R@ENp6YLWl5X7!LmHq}5y!@sJ35J~R zCMd5o#F}I@rIvcSh6P@(g*~L2iS6Pho-^9-h+8)dy$1CZJqVDuZ|mzHh$J}Ls~ZO^ z$!|7#HAu&5!8n>W`$~WXn3n_4L&g8ZoQi1Yut8w3ZuTq0}-0@KvdeJhxy^)=%iRwJcmX( zj+0(pXT!u?BJxIDBJ5ky`v#*1qr=U^7sL6(nbCfm`~-NA`(q&j4FAjU{*?wgw5qdr zdbYd-x1Bg|QO(WXqtG8c>WF4r?vB6)LHe-=+aM|`3H^kmIElhUU4mUQU*gvoe`Cm$ zM=SV8@#2V`=I!P62AZ2gFGqZ`{vd>mGWFQBf#x*o}i$qprAF!-AmUT zV0K|13)%;|a|g>I`7O!LsW{zCl!#f-aPM?Fxj$ntn*5g|Qqz!RJM6upzcDy$e!Nn1 zZKJU73(+@c9Up(V_E8IZ^<7vE6AH`jj$W6>ivnaPQY$L%2OJYQq2j3hKa0vss5^(hUKyK)}`F7B2>2gIvOF#5jKfpXSI%Fb)TH#z8ZvX3A$SQ*~iMoKzJoZEqz?R-+(o1 z=0!gwc}MWwyXYp&BUb4uo>8LJU!n~9=#!uyjv|t5zY)sb;B0!9g|`Azk{jBAk;DGE z_PGN1seRG%RMhm@xj7T`ePR&{#Nz!W;SFpuYGeci2QSa+-zshTQ~%LG9St^qWVx6>1`QG8YtB?F^GbzF3)MYngOhtbVt8^4_- zjLIect%>jc960Y^5NvcHE0k}LIR9~w4YS4PuaOq(4uxc^Uz1QUP_MMbxO zjWltHx36V9cd)q7 zSm`xWX1&4y@x*Wb6b%GbB!IHDr?C>1*f%J$LHVZ*uPqK&G=(a!Cl1$4+^TWu;J=)h zDO^Tq3!iFpfq0Vie+>JRBjrm_#1lFXon5Eqr;kCCnmUmlsd+Q>ySyy&TzoAK!I+8-egi2jpy&FvX(Uwhb znmUN=UBy|`4|sG+Q;iLE%BHDL>fgy+^UmZ1A_y*2Npj-Q2VP1=mn9ZQTK zeMc9HXL69A(Axg7@^rL}L-1nz1JyWbpjANLLbQ;2j-@Xx_#J6V@niBA;OY9P zabCgTLae{X1W(zi3Rj6HyFglI_EUo{e56`WvR_O<67VPU0=fFzK={B z+;R?$wNCe--7lGZLo+)7%d)3^PYg!>o!e%j~a)`Nq)88AXm_N`JX10`7Ykdl)w@EuzKx4MkUr2p2tFVl6TD-l ze{cI7@96Fs|Bp$5S%C?R=aB0z)^*PZ9={hu9luyWe^0n)ToZlspJ7$JkL+=N-=`b{ zZK)s3Wt?x2OZadBlSbg_YU0+F^BiM8O%MZ){y<{>3k|_QiWVYYg0YIJ6fp7Dcl-GBG35j2OC@(--nlRKi6OsiB0bdxt2dhgrK1xw8 zx1pijBuRuS==1xnqTmHj!s4vQ;KuC~0CB$d!sMZ%cc|2=n~A&vZieFJ?SswJhQ~&q z=f3NnF9Vd-j`xlyFQ%uvw=;ar-QAv&M+EMuYFQW{$j0Yk;9wSlAYg+if^IbeQdEn&ehTUwt3hxe)aFKt*?6n-_i=* zJlmd=X>7;dnfF<*|KU6So`2o*BipTh!2AR633%*R+nHp2aO2Hfl2>9<-74uQ@d&if zu=n2G>MsaRCm@Qt2d7FbWs=P`K|t>|p%yU#lY7wT&T=!|*-*+3>z}^|Z-dc>&?LJ$ zSm>01Fp#sQQ$m2h8c5>EkvdNVo*KJ0{RXRRJI1jSh?A3ZKC*HYD)r`Zc~v#zt16hz zBzRhDRBs=)pl=rB`<0YVK>rMXl+Gqzj~qPScwe>cp?e6KEw35?Qq%S`d<+UE?bi#7 z5-|?f6eC#~noNGV3s;S|OVpMvOvA_tavIyNb{2l-22>v&&zU8JodYJon6ZnF#Fjjv zRRG&}**nvaes7wxT7>WEUVO6~{(VPp$F3rH$-p+mbZBea>-`>EBAaaLN$SxIvoANG zefoS)%;+lsh@RT#1nh=$TIIrtRH@zB#0z)#o0J%hqxz>nZSN0(3ajD>#Y(Cf@j3n- z@N5IaV#iDL3iPT-=O_n8DtZe1lznk7oZLOhY8hJTT1i_uN{t2Ax zl>p`ElSOFY^X1I&8_&!bZM2^+E?8zGKBmS6ApZgPYb1I@#2(XN>Q^s*TGb1s#J#C~ zqD_Z$s7=qAFR&PDpPa#Utkh-K_-Yqrmp*SX;S_Q7K{2*4T#PwnGDgO-T^Rq+MRZyG_W5bBC%@VLE(qu z02NWoIP=4J`%+Dm`4zKv&%YvR^IO5#5<3ygp&Uxe=PN3el078LCA_H1lEgo9ZA%|~ zxgn6ts)$$*(rujLHl^x){y-|$64YfjW;W2o4Vg&?;%eL0YDGc)BYINplgO7g8`R06 zdGj@C&6gTy;7DdIY8soH%P-VY&Y((@2Z)z;(%14hI8O6S_{Ltz!aWSCQwPfb2%ln< zAHsoE{>;^AC>O@W+^y9w;DX_aY3%77t()xQW)gGu6=4^di#JE5a?Zn}49;5|Y!qIs z(Il?6sL)@=>fA|+#vA89F2UA#6q~!xDJN5KyS_Izicda|EnKn|W}`d^O9l>Gh3{W@D4t0&sC0%7H5+X#9F;lxtNUa{V>mQPOa9!HWN zoc8!L)0&K`ENtr0J_Vt^9fF%H$&waLxrA1gI(rc3GD=*kF0%;%%`)=9*-x`~)@1Q1|^rDG>Ou5ks%vZFwOT>W2pr6pU?Ihv!H!NQG50I{no10coxTZ&AH+y4unO4WZ!rh7}CFd z0zNu@YbP3lW&-GU`Vaa`?@5Q`?_w{-i79oCj5MlZ>3@@4wnrzrz@+?=HcuyiFCMs! zMuo;HSlDRfKaQl~5CXiC$EUlh152>T*{UDfmnK9~KN&%p5Eon77p(UUj*(ob+gvge z)`Jzh4j?d-v@LrVzDBA~@5eB5JZrjQMPKPhK_f-hhwj(qIRhCjpNCXOy>1s|y>eEU zJH9d$xF$xw>Xw8FfcjqtMpWyl8U+reOHj}T;Lw;nf5&85+ORH_lI3j!M7X(s9c9|! z^Jv+b(Yr4X`C7x1;6+bESoQ}PM}N^}Iwg#Gr45F7U6%c-Xe@t^^?r5*LKxqlOwJ2q zIe)!`f%x4<-gQ45N+d-&M26yFs_(Q@o5VUuiH-xvpLnGw*0mT=d zR_!n-gl=EmjlQit8$bK?$6NG1;0*ln_5^y!vNe{YGcvl)y`0Emxh_L{dbfz|T;`}< zZ%gkV>6D7jM1X+m+z%Go840bN9QNXiEeywUQruaaJMb5$V7OORVT8(?_QfVI4x5?eHg%a-{}7x7&VL zh)=VbDw)5ARu099NyQ!_$dbkJ^nQ5V_ZM9=oLqT57K9?J#M_*^;u`gQU#+=H##VdZ z(X7{ArC4W${&f3o_~FS#&EFN`DjqSa>V97k8e8f00E`;fdxJE3BUxdKN%Mtt);%0@ z?(g{Y`y>zd>CP(h>GuC3e2eKoZE8P;z{)MU5)Xf$CBJ${KPOWh$(H+Iujgr|HQvG* zR6G=9=F6FpvB)4@|CRW=VaZQOVnek z5FsWuFd5btpLn9I)b(Pa@VuS3GuDmj98a;N-+)Y-x|Y{Cyy)cY`SsiCG$3C z8jN0W1BJscolbe3osLor3wXaC_8(-DiFD(qjOK#+Y1KxpL(+{{8%y|1#?K$EqRL(9 z0ExpG@(dJKC~64Gz<0ek_;wS0`Ydhcpv*L+c~W1-C_k6n3K>6UNuB@3F*L{UYGy$M zI9sGS|E%N;9N3$x%rz^UaKlvAw;l*G=KQicIPuogi0;EwR~>X`aN5<$}~82^ee>bia_UBdTzMebaVra4!6es*4gOT?|EakKMT)aVFGL11^>>>OjUYa+z3^lCHW`4J66}LSk7`J(J>{-03)$|S#q!3Vk zict1$aJ4aaIm}#081GO)))4snR%e$X-@w{5FtgE%eFRNDbZT2ZzM)VcY`%;r0hm*Y z3ybIXTg}$t4W{dt*;d2;1?NcDZ}s^}A1A5lA-{%S1_Pla!(r`c)EV1)Su5afcROfA zw@oCKs67XIZ|o(bD=_UIU8!8BCx$*d9VZ?mfHO2FfW<^10Pq{|1r5)8>w7?1^4voC!Oh4_swgKhI5?W19w^rOyUG0A zj^hc-Gr=)7Y~Duo*quud9Yj`dJEvmO$$Ki`W4;L<8zuW+W#fACZ_kcrsk;g<22F_YsNUC#P$d4vWM6GP4TJzmxH&YZFfFh{Y5V80FD z+x-;OV|9{%x}PAO_sdOv0@xfp^Fh$irQQ1(>z9n=yWi&(yFT!1;bQh;v9p4wN|}ju z!@;A!cC6OWTW;d;=HMJeHxA6b@Ul}RZ%_PJec7c&!Pu`lTK)D9{TE5=24+mSG8G(h z1#Cxay9vyW8^!r%ttd_S_+GyQ4o^sjj%U{zGz}2q9t`RbqE{2NO#q8uu_d;Q*?XC| z2pT`NQ`+dv!pdMTT!?FTJwxR#+r7v2ROKlhm8>L?tC!H`^BSpy?)6&bWV2rPX z!Ct3Ou(E4(N3b%54=k#IpC@!GlpKi{JnV>`<5CO0gh5I1Dj0OtV2b4VlStGJSfPW_ zVk{nTtNP{4j8>>pkz2yo>Ie(bj0pciaqS*sxCvF)66YMedvgd=SC_8Y9asEQbRFt@ ze)^-pejYEAYFy)_;nKX0j@x{t{KtEIaDy~Cb9kOQ)_`U_Auu=t8c8}aq1`KiQf0lMcm5fORm4)$>Y6IlT%{$l9`U`E}+lmNxEM!=uv1tO$3|#K{7oq?pMHfy}Cq&DVr6n!HZmJJEZ_Y(Sb}g2J zN(82Z=v4l#5XfGPWjlYQYA9vVEtJN%T8jOgKL}?JTVT-taNJ;jYwszw_~GelE$daP z>g8me$v3c8X#r*0XVM%%Xbn$Bp=HNev1rj`R7l!DD_2gWDl<^bZo~Aq{<7a?PKQ2G zCOtIWkiFq((*vpA#8oG!d526k!#waXOx)NLvj>I`9T)~(ZGpoQv7A;+?MYp@XC0j_ zH)Fl`iaQ{2Revs>coESb=89M@ZJ%^0!>|)`rlApX?N7_n z2iSN2Z(!7!#_@+ot(n8>79M$Qrd8Fb!L)05J3VYd2b!xX8U+^>Rj}$XMqF=teIXbv z=^>Lsa-m3>QMr*vIhA@goovw@u4a)H87d*KxOOuMLs!eVQj)LQ zJpecS!33Q=J`Tetd){P?z<;(+0bRN>0=droBo@)+C2I)%TKa60mbejPc@}ZYd>DzM zQzWslKe!j-9GZ-sVXT~k&sfO`WPULzHib`~P@2Jou8@sz7zy^6)yMNU`C9Xn^wDuc zjP6D-XUAC+rE-7KyVIU(-z4klwURV|ZUg9bxW=E~klLmK3!l!<^lI$wg3oQyOEBFf z?`?6ITF?EoDAN(xvU=6$a_# z>ApZUeo(9(ow#zePUr;;XPr!wJb^gXn2D+V$J0!Q%5Pem#if5s=R>O=&983fm%yI$ zx$~|0eZ|t|p5HB3KB(BdiU~`q7Ny_70O%{#%U`!` z>203+BgPD&Om%q~;nixsGQN_7r0Tgpn`#9z#Kvg?#FeS9{714WI?29FqwbhV_4l-& zbPFxZMVfTe6!M?86&|XXhT`Is{%qT79c12*E+_Cm7&m401X{MPa{W~AZ-J>+`|gWq z%X-Rofv(s)B3H)|y4eY=E`52-dygYaGQ7pCW>|reZ_?em*E>ja%Rb#aDhZX zU-(@J2L{}j1R*Hk2MSdG|LuIs=L(i_2YE(+)RGMyO9|FX0eWk~st}fOpx9&Fk;Qv9 zxSD2*%4F0A^!o&NdsVE}BEY-%*-a^oU4j$G0uRl;mj%CotlxC{S2F!ovQt!JM9u_v zpqfleR0B;l!e}d5MGV?$(!N=yDAb`Eu4Y~uzpKZAS||0sO(~o1@S{hiGiqZLCWD^r z$mgHE1Fk2zjSh@stFLR?>ipLn)y$F?@ra5;mLx}O1WG+TQP|XBC7>Tu+qLk29rYLe ze;2lT?5ro{LEgNum5F{^$T2x*k7|xfJz-Cym$BTI#(tvwzrJ&t-Iz)rY<_pXA^ry) zm5erR*AH!vu%#S@Td;_kB9F{Hca+STGr=5JMtzNLCKqNwaWJ|7R9cZ_e|fP6W%NN) zKpEc#@fHZMO1TqDQKts!{y3Q##Hj1miT^-yWhe zySR|PMZ`X#nPj%va)Lio+IvIheNoO9pg!^4glc1K4E=m(JAiZN35pScZj3uMtd~aVe<>8jS6{Q0%h&5Yq$07FXDK<5@+UsHp>|~y zXS+#FVU;?m<9({p(9)G-P)*yT4L3J6yKep4qF88jZESH}aOZ4kq6#u*WE9cAw`=%` z4dW^gpU#WL!9iuShULc2dyTA~bPusPEbTBBFQ zz=roU&9HQ9WVFgyOaoLky7h?56w}{F?3#n4^QE2AkSn*rs%ypXh($+B|e^CkRChT)Jo3YXUMC*EMX5 zpUgYND!4dXwy1uyGy2!1ONVft-~Em|Y*uN6_MCD|o-XzbLL!`U1Qv&zhpHIu9#^r0 z6_#c7gITUfCD;3>pMtYQOy~2D(&P)LFN#y1{M;dAYNI2J_`W$^btV zRD#T+O;r;_#Ve;;eY}`i(Og{yHL!4R$Y@w2Ys&DRBmUQ%@upW%rfA#9AFQZciBr|8 z;e<#4EqdvN0!L;)2T-u!I}8c>KjJBa4dnWT@^vj(E=Aoe6BhO?XK7D7zMk5PX~>WU zG0!!j9mK`9MdjLd;5zb2^yJ*)(|G52;us&HS`eC~Jx+S{=9pxIeOMn^_x8;2fxif{ z9fN$M-(&84kI|u8@Mr{UrC56QE;3ZsYav#E>&>L24(Mn>0|y7SJaPMGoBrNf$U6p0 z@E%OMpf4oNST+U|F(H{O7g_$y=HIY)*Cy9cKDgdQH$Ao3AlE9rpER|9I+@24FtbEN zmo(w{E0eT>MdQI+RY5hCJunPSKhF&O=4R5xIYWHH^ryX9`ddx6p@ zvdT$SEHVw;{SeYg#A6B4l#ZAVqMLsk(f*1DRA2((83->JNK3tXY+TSR8+u4frh4$p zU@v_3L_1X*WWCrfk$KaX8<%k|&@Biz-mTuG-vU?OfPc+icF;%Dhid;UBWrjN<^?QpgM3EusAf5D#+`!3S*}ahH5UKa#eNx;pTa*uZ}1zr zKnzN{P;bK5X#EuzqeGInaW=IEPlitoAncV#GbMz;_na~69tj^2M>#vn^1zcD7u=ik zZD{%ufinr1(GV0zBJx|hAu0Gm#Dspc$B4fy=#sFb%3OYx{+;Z^k34kY2@+uZShJ?9 zoo)9=`DG6)v!*{;e1EW2UhrrQ-Pf8dlQ7c%=MgW7+F zdPaps1@4DoGTTun;V%v{0cs#x3d3%^$Gvmu{EBktg2w7^ zr^`#QR|G;2ssX+MUrS^J-b=-^g;0xVgx%(QUEdxfc9o8 z!!3E8R-w#*S%I#A)e;(U_2PrP<0{Ifjz$V5W@5rqqG7ms?73vm|FCFGp}vrlxQf^o0SA-t-z|BY8z`wYX863 z(cjHHBKw7VVLQQJezIS?V<>?5kd3}w1=Top|>dR|mRrFqr!J>49?hm(Vh)W1CA1RH$uOBe%t7{Ta(QjSmvAPBnkP7$ft zQ^@DZISt|$aA#-umQ&29EbEEpahq_b2m+lY;wl=HqAGB;YiZCQkTautQlrW0V}N)% z9|y(v3R@^C^hQ9dnC~HdH_=L3CR;6Kkn2hh%K8R#Lk2asOl^U2OObUD@s|SIo!a#l zM#vlulSLe=Oh6i#c%&BcjqC6bol`l0YSV#oe#9xX6xH#6_>41vKh=8ll(^Qi_ zoP~8hf#5+HN~M6OXrqq+{brKCVx|Mtt_b7L*g)G(s|+Ll^U`Lf?!a?mn2vmE;M+v> zV$c6C0OP5@$?I@QWCv|)#o05A_yjuCY;^Ra1O*Uwbv6Z@F&`*ZujR-P57G0s)WRI1 z0myyHT-`qmTfB3jEefLPLJ}>YoBozFs$FWd|H=+N6F9_(O6AOsQbZjceg%Jc7U0Wqy4V+s<*GWckgv~u7+Iu317 zhIG20bE%1taJbqe&O+vSzulb0t!+>1|9hPZty^e+!QZA~4M*HlwulAQyZ{S58Da&E zBmoVv;olOc68=qbe#Kmy|4n@P$N%XX=kxu&gNX+OI{%&N3lTT+7KvblgkR{}0_QBo zuk6I1l^GJNebk^e9VgCP9G4%@G0Ad{bSCDceWrijFTDc^bt3vL6pqiGJ-;t8J;-xI zFg@0G>oYy!=|p|J549V-fnrbdMWp2!{Q_%`#fASZ#Ae7w>;Ta*846T(iFo#F`wyj1?%w-T(a!Ld}*i`Tx39?bv6C)onO+(6oaxB(3U zfisdfgm8is!tB)t*@n~tt%Wl}T+u+qIR!|qAXbzU`~Fi+(%azb1ZV^zJ~FI@Jmr3# zz?hI>=ldju_kT)q#9x)tmV?M)^AIQ?> zGLuE-4r}gS-3*8QApmV3&?Qg*4;=>LL0`}A>|o|;MyX`!djO+!?H;ch4>bHxu*e0A z0(Z%Ng*W-z1164@>%?Z0SeL}Z-p zUm-A7DQeSRQ(Ew@dW&ha$MFo!eV2ZOS%7vNl{ZDUS85P&l^cmbeK#)O#Ly#Gq zAi*`b26uPYi@PU4aCZpq9^Bo71h?R>%kw<%?!NoahyAi=`}CYU{hPX7b*rm;&h%7u zVcOSy2k9$yVa6xTQqxH$mDx|1ETA>XtAb0e-sP%-8z)+z#*ppY3s;Gr;%5NA+95TQ_w zaC!FF1ol!$-9&xL-D}3by>1lL5rdJ4k%) zfM6%}m&=#`oG&k(FE5@ikMWwD$mnrSD>C`Z z4tU)dR0j16aoc}v5ZZ~LQSmw9z@(uU7Lc8CvpVih3fv_#IxWX!DnGM3HpCB0FC$B(l-p+XKtAp zyuVIJYEF)A+bTLfVtP-q0=!7grl67cgwNK`*6X7bk67!YH@7#woIrnOVAIwO@OToJ zFowhNNBxibw_H#KC??Hm%M@OIn!)zz_7Q=uU#TTSvdJTr%36F<2dgam4 zH*?+8m+W~~d{?3HKFCIBs_s))`?Fq`7CmYqg^uZre_j%P(py*>qbacn52vqUgk zZk!ZlgR)2fB#2frZX!!LMN70fL8|++XaM|ZzB|dc9rwokrrU}u650N?m6MjW)0cbx z2iJ^??sQ2WdJ%W@Z@AX6TP{GR2Yz={lAJP-8NEk^GsBrWE zDIf*r!tpjT#js0Wb}(~pQXu}?HfhU~`*|sjHP|@Ys7Q{$kx;OFn5$pmdiLIp=UNl` zs`xvToN8)OW8clm=Iq)IP_Z#5K=P!!JQTez^f@&Nq-1#7CdQdH%5cl2qFihjZN%S_ z!cnp3SYdt<-VSEBZlAk(S>AZ@w!YTgoCYi-b|+kv;SKFoKT5=m)m`mrwRDkeWjo$> zIP3S9dFkBo@-=@Pa^0KcQ}&VY2HcfH>$B3=cIcLzX69H(+|6`>oME(Jw6?B9VBV@J zyYjkaTT?3iM|F%4|D8*a8xWblKDnsjs!y!aUgq^DlTWCe{?=`edV(sM32Eue(if}G z56D>pS<2VihSQ?1CVzN_$))cYqr4>Nm`bB=8Fj3ALUS<%IR#4B>>PQ-^E1w9_=U)M&|*?z}I_q;LPE#@4+;}Q26d1|ZTY+l~G-M=CW zd~z;>6D2}X5=NbaWI>h^ULbz~NV7lJ80)j%ICZ)U*sVze1MRy{o!;Xweh*`heh)gg zFgIChx)YT%BV zyu^WDgJeR^ejBT5j$DH8jgkON|9nJ35%iF?l9Pef61fTT}J6>k49$vsv4x6^-#o7VeHyIIr;{1;0$r%7> znNT9yagenz1GLLSXvW4_ZkY1*{V0{p;=!@i%ID}uNWSty3!Zet!!1HyYKcj%LCtnS zt+=re7^_mk5qN=!WGE~j@}{?&1m}bC=5M3kYz~0JNe86DQwP;NOx`0}lRnD0WSqfu zg_D0ApBdBh*~!*iOjZldT}9)<8R7txOoSIreiD2i-0e<23#ozHHX>ny5&x8o;qMJ~ zG^$rxbuLWE5Xw=u1Rq;hHDEy#4xZI{E3Q`KaiZInxDj!XsS#C^IAZG4)@K#Gr-a+W z^nmo`R-rORwd!o`eQ+z@g(FdN=6uN#`fcc}c~nRO{W*hmej5Dpkf@MHR0$%`89f5y z!!U4&;&~I)+HQ5CW&W99;pG!kP^+;1Nv7UO3%L(`4_#23x?l>bh7|2ZVF~n32*p%9 zxwM>hU%F+bIHN>ve=KJJmvHGiF+?P@^J|w>uQ5w%Umn&33>VQMSqkL!*q*5Mk;-og z@)T_rWA7OB;bxSnX7s3Pe@K}DYJ}CB33YZ{77U^C@N}MCcg043Fh_$oXTSC$z~4cR z?K~>4Xe}G|;3Fh&)ZgT{!9QD_?p9lJjl|RoN%Myn<5~tnSPUN+*Gor^j3Wcpl>|yd4k3= zx+s#1?Mo+TgepnroOJA;>BQ%?g}M=1m8g8(TZ5D1U8r8nveIdQw?kPs?{s_}{z_=x zh&TE=gZq9@u$8go%q{IIiiXpRNG<`aUhfvH)&2-O;fCF*Kg6*z!{z%>8Er~ zWp!ioFl=6RkiajeZyUd6AOEyl|7hKXU&$)0TBDvsN6BF@o~m$ZY_IOo8c0q`c?tI+ zu-^6Uk?ukhbkIIU4{MB~u)JFV?+<_Y<&rIwXI5W56yvNAoX#;{vx#Ohf6J&{c&niA zx*E(l%It{-b7}>pVBH9H=8LO$R>m%JVfdGVDia9SzU^W5UL@I10F6Mt2SKlDd;?|^ z%$D|>Gx6&3pY(WwdXolk8j!-uPGA7cg{MV=od+Dly_GScM@wIE{g_wHRF@wyA@K)S z#$(`lz_{cWF>0A&1;Te~Ou*_{GMy?Qqkb+ck*i|WBe+yul4+SRmakNtlT$Eq>`==> zsv#en zXZz;I_8~6i5o9sk{=P3cDnXj1NHjs$BNqMw9ene~Rd|c!14L-HxiX2**Qr*Xul4{e z9O_7HC$Nqp(ofEYQNt-1DC>J@#N)TX8bqh{PSLBN5`k9<*rNanCNMKll!cDGDTazj zO5_?OI{W*~q$Gl(i`nAvu(9F<%m=#b0-MJP3F&!_2PO&pk2GP-5#WQxvdlWUI*SF# zyK?212G%RzupzLpa(&<;G=|!N7Y_=_n)QWa(|@xBtxk+h^3MPI4GAnS3D0}lcj-{A zZwC!l-?YN?17pK+A*Og(k>~{2aLS6G!l1*ELoLUs{IRHo1)&lw~u?yE-EJ^G7gu~+jIuS zeHxoJQKAyBMG2?u*r7D!*8yFF$6)!Y9+FcGPZ1cBv)hB5^9YZnBYeymTZ8S;7DRlq zkZNnjFr8~!#a+OP^bBKz*hDDIq%E-)nr~VIofk~>i5N66?8E*Tb&RV|RTlVdT~@~U zyR(5lP{I-vf)`=T{G!lX!V;_+aPdiLz26^Qr&AHJ*`Fz2(9my;cJXQV1Ke)Fc@;Uw z&(SLG`k&>MjP?DJTm*&dY`i$*T?AY>%s(~UxW~Fn&v>+aIX6b#P*$T|H~1RglJ@nV z(Rrck{+vJk?1doEDR;{H2;K3sf+`~(swbtE8sNx7GnXa~#o7PVjLA9m)eRd1;`=BP z9Qy|15BpUfTedHb7Lw5uA7+G|EK?9G0*R8LDK>&n7M&W@s`mXrE%24NI=+lNRf3%E zeanDObtW-c$bf=Fd>R%0po*fb&DV!5u)C}eh3%mDxym~1`y#{xA@6Lz31u_t?}!CL zC_tBx8J#*xIUG@T`8pXF3Mf$aCz*Z`i`F~FgRm%3?@75!{D7WGgV1;6Vi7n!ZuTYL zKNN(r_Hu7{*~fjSV#2@Zncd*A&utX_#dQ4kCNzITFz#t1IR>o~sywQok**vK$I9RB z7j#2b-6VEHUiko_CWXIsB^tJ!=C8y#^Y?(P$dDUhMzHd!6ECQELpd#6gcoK!tDGEE zNa4(jKQ2n>230=l;YO|#%66vM`gXQ|_!N>Cq_&PLK~(XxG!|VaVm=4njZY`W=?uOV zioGZGlsYd2=|xRZuueIF=vJ|&;q@3aoj%9nG`6G*c~E?x15he z7IgbG`ypkNtJt2fsGIzOkg91C!TCc+U0%j zpxI1vU@YcLP~ap<4MP|i)AZL{_jFQ|nUM4;cL6V%V2t$&>yofnj(j>>nh~tkW-yksr;fm&B5b)X(#fuXi zNHvQ4z~=jbW;hOGkiy-JOyjdEg>H46m54wbqY(ko5jeZR#)*wWI-P36g&J~3sHIRt45QVgK+h!INCOTZC|9>;l_ zvAK(oWb2(r@(LRcFz{>=NdxqfRLJC{0|;-W+GCk%W%PcMFiF57g=2n$GiH;O$C;7O z%c3*Op%oOL5IkynE6k?Df*mOzsV5_zP$lG;&7DH_9m&KjM~~@yE?1XgrN~!U2A=B( z{4Yr56Gnpc5(@r$Gz-~u{%v|O1;~E_U1(o3y4Q+J3W;Y^q)^e_*O*8E??r<9=cKfjgf=Y#-1FwH@9O-jRd5!C_A{ zgyS0eaYE`fv|O+w^r833EWzy)udixENRP17ukmU3PIaru(MqaBgO6Harki(eNMn6X zxA4I2inL?_({X9`-uIwFmx_6i=sd%V zdiFMs%)n4k(~NQf*~h;Flaer8xcB#lgni^YYO!#zLE3k+g0PxiRIrlPdy|N!4Q7LO zbut^ghVb4E8~e-w;JAwfMJo8yAeTIZgbg(ySn^XhqFKTl`h3{?Jkrmhk6KA(w)l zsGmGh1wB*zf4uYi!3LuZhSvr|X`7=r8z46uz&B4pJM|Jf0llvmY^Qvxy>MKXRl;{` z$nVw&-!a4WEx(z!_B*upJ#^{3s_%66)N6vN{Dq}{4EwzhPZ*hE+8 z#Mh=PRH7?fV#}LhZNF`s&hyuL+<8vYd6Ln2qSJY@$^vhPP~hbN#HlIxAn3(DMr`G!k(1;x)3{1gx!VxRK~Y0)5K~j$0=BtI`&6lgN*_)Gc)PPZ_cJudc1){BiV; zv9&nL_N;=^6F63qY8GgC!AO2vX$vC6@8Lr!di21`#5B?PG~r)+mF*L`)U?Q$@Blo( zu_s}J(7vrv^26JR9_6j;xtIjs`7OxBq&^?>0-c*E)Kn+lVic?lQ%42ueS zt*EG1Fu~|h!RTQHRb%g1^Z*zH-ed}|*_qdvUZF0o-*zbi*Kd@hr8inOK zQO}V~H=(CnwibSm6i}4u&ZHZ|!lolc?h2)u`>7sY9wZ;mu@-iU{@%+MF_Y{kerPN# zR^O*U%uq~ttUXj&L{qv>AUsxcb4xYvS9rYUq=RMaukhl!nP1z92M z9Cp$e=Q9rbt^z)8Qs!`{<1@hx3)yJQeR-6$V1zpS_KSzHVQiqh2GG<0zH)fFyFl1M zdS*cS%v6Ujm~wGGgTFP`%X7yh*sd79{|FV1LOyC7#Mb;Mh!-OuvRfs+cZ2JmSL$$> z@~fGt!DgV`p~+dvW+h$|SC5YT^Ab;_#Sg{W-I&QX#@vUG@SfUzq<*_g(bIL?PETBJ zNf%B|!e{lobD+wF8X%_n!Q1g}md%)7*H`&2>QPKNW^Lppob67?hhb`?;o@VQ>?o0& z^Ma=Lx&}9F2U(5jiRuK};oTM6j%o_Y1(g@lFV2yR%GbsQH_1%%cj$#Aw}$@naTn}C%_armb%7JBVq!KpWbb@`o=jg$x(U$;CY`SMkfNuGGSi|>p0K6p>s zxzSl5wvIT#9t_}_vj*v5gKh#J>7p{CAtVid#(deg52KT~FG;?p<}GWdP)^2EpJh6L z$Ira@YDq^e*7(bx7o$(0Ggl5is3awQYs*(B)Sp(gAP3f=uoadUsCW$wC_T6H;@q=> z;-bEO4TyWBia8Y;ROJX(^w!vbcW#(zwU{bMuBBU!uDfctJ|QI`v7RyZy4*V*Sl<&JVMOP#uDxMLPdU@S%Zb(>KsIMy4c!Hvm+K9xJLlMW6-8djWP}}~>gL;lTZ^6>UB# z={W+NH10;fv#ad8KQj^3c4)+;zL-sRwarn~REwoD@=cFfR4j4m);z6{l(;x)8P(1G zqI=O8H`hL)o?lMDg^*>D(fI^*IF~(a=4%F|)d2VV%e0*HgBlNZI?`_E=B1U3RmZ!>ZyfPp+-fnky|X2I-RK*rG2j0k0c|?S#H5A^rO* zAs|=%&whiO4AJvYkC*udy}1DiUn+bWC0IJ8(XC`>ofV5YfFdc?je5`O?7Nb;f#?>{ z{v7`-OVnS6j?a&Mli#6HHh=97o`00GdgAnXuIC=^#Q_br);9Go@tIxU&vwG8E<3c4 zf}YQZw;`sxf|h^U0!mXtTzFLLkEz3$fd8?hF^gUDPOW)9s9OC{Nw?omIR6#60rZB< zeNsDtdaOSKRD&$unewiQ)QU;i(1O{OoZ|DyZq25Qk^OmjVJ5#AMp4Kw5^ zu6Zwx+nE^d7saS;t;qn7BPeM8>I9HVx^A4ySU#@$txg32UL*<~oe1}{YcAcao-CDh z{xgNYPzu@5s@?G^XXgWbfRukEWS-O_$Uz45G&X zj$#{Ww%tRgQ&e3}>g$*CcmVg?RwRm=B$47mD-Z9}sLAN|FZ+HpWj~gh**&UC=il%8 z=y(*0dB|!tkY5$a^{3_enCoxN*FVs(Gw%yjcTe#fGvX`-Qn})fF$qjF1Yt-Eb{t8! zZL8!WXXb^SFU6!*@KRG?!Fk$L1N2;noIFJ`nHd2yj;#ePdcaNTxQA=J47tNLWX$u`)_ta z9I{%8K&<%c_c4gG$)p1o)LhZZ=2q6$R)3c2jr}R|yD^%Vg3G0F3ZFW@eFhPtTQ_6J zv_IX?Q}+Y$k0*eIoaELV0mhYM0cjZ1^-cFRsJeqGYPfpOq}Ba%$@~TW$`Xh`rpND2 zv|n=3{bu19YZ~PwvyuJa_fW+oBVOcC6E9AS6h?_90UK*J4{gV% zaijIi{*ZfG_SalOm)}$l|7go`P*^hYaoO^pN_=fp!n+2fXp%5!m#H~=~cbE0jrs^4^ zD;oDAZKoF?To7@P4c0DgShRWU{drq(oO{8(bRn2>d;PF<5!Fj=n)_iznt#pq+C1&| z6uc>s4{xIfwQ{B)U!RARQGRh25j-_EU-9wG$@FRhl^Oj$nR6n~HqTc5XLD8_9-bWS z!#Te=@^T@|!%7uBH?zags;-gc=AF82g>vmyvu;a3RC|h~jw)|6{(8zqv46>#F4I5- zhlPXV+7n7#gkB{48I=9WTkC@?LSo>rC}h6MONXK_DHp#<6zmHM%Z|BZzL+E_8N4P3 zDQED(IgFXTX4`;v6=_#%_jIc+%>Qv0RD%K3^OhI-$a?B4q|C+P0YoIv0hc z2FV!#4r{WzeeawI+Ff$i($vHaOf%L;H5os~ube-lODCM0Mx6YLktQuk-l@y^)nR)S zZ~uH3kiL_yTQU2Mmw&zf+N*ds`g1R5JK|sw#AEyF01}{uljBq&x;)iO5H&}2*Wh-^ z-IV`ZV=#=n@aqiou4khY32lsp*O=|zE3A(Gn!6%=n(*BF0P0Y{ z$uPdvA9WuZP9b9SaYC7mQGc+PHWHca`W-f1_Wmwk!;{8CHe%)%ATFPg=lWLoZuRK|+dp`eKx z(YGENhw~-UtF~=#`w~Q>TlP?L6>Lxr@>upqklbcnMQl*w+BqD;OO7eoIu&mN#=E6&^5q zYe*=Eny$QISdthN*sH>O$mM!=Zco_#;o$)7ra{}s=1XQ`pHJ?J$%<8a*`n=mzjf5K zu+I1AvZvpHJKOt&36fxi>k$0D?Swm&@qwLh9HOKU1R7V#>+}qQ`le!)nCeq2ASoOi zQ}BJ1fMGh7ax5()Zf8x2c>Cq`d!Qgo(XlNXsy~=TLXftb^lHD>Eo9|>mCn)CRDjOz zc*Ef~vNn!40G(NZRasQWjF&K4OFpTYB3l48x1B;hndHh^YV+YwiGZo7FLojL<4?vX z<8Q8#G`I%Z+DH5B+AmGhtvLz&z{cp}a(ZgolUC)9`ea4MXi6Xh0!?JEd*4b%h!t8C zC)2SD|J`SgHa;oVKQ{uO-H5?GjHb^`)k@or*DI@*?vUrjs;GK=CD$}WUNbX=5*=bS`N>6U8e(!`sip`$6Rw(615>L=4VNB$f>1ECo0LQWaWdDja&U@dj3KPDaZ zc+Aavl)>*#3hvh-%|#ij33pN;zSbZ@?wwJit|xJQK=y$Eie3@!f@QOW(` zkzucf&;N3F7&TLq$-ZMDps}m>16OH#($;&#U>I(NVeTIG;)WH67bwupgdA_XIe8~Z z5A2@$+gE(ah{^=$YkpVbhPe26Wy+J-Eq}52ZfydZ-WO~Tb>z@V{%x0kw4FK~fZ;`; zea2&UJRXB?=B?F4b2pr?Q793D%~YU^@<`q!!AZ3OjANpdUKgr)YeS041$9@vqt#Gh zc$_PlFDK10TP8Fv0Gy@P^)bwlD@GT%FIN+i#CDupgG-4h)6;C&syQlEqX~)XV(SLO zI|Le>7~2FltB22DF%Loe)lG)-cm77kYkyQ?lsroo@bP@iYB;+1Y&YI6XMSKywDr`S z^l>jVT}i_YQCfrT^3q?@S-sn@8%h7#GW+0^1JT#GTI8X~2TthwIv|SS(cy@ww+)fs zEGR?)-Lk{Tmq70|C5FqK_2G0!bBY+vU!dAeN+~I`J=dZ;xi2l}YcXwUUlM!_G-al# z`%YHZ_}iVu@qbix2a}*jyys7-dyOY-QU66!Zmpxt^<1Anl&;}z%eY_LI3UY#7G~1a z6_qYn_;Bgy0%YFRDKzc(MMNlOUh=!W%=R;(h1kg<6nu^jYa!K1uu!ff^K_Y8)sJ!{ zl1NqCqJkY^t*m^_&XJowTuZ=gqm!n(I$u(L(aogY=q&Ns%e&b0tCIFKjkS+qI)Bf` zb;c##1!0fX)l1p5fp(*+o|@yD3v0TmbGw>Tk=663C%}f^a5v-o$#3C_GmNP*8*dwS zDF*k_yOP#3M#t{*j0!`Bk>g`U$(d&Th2zN7&+$euDrI%N{gOCJ!1}lHF_H6SxYJ)f z42mH46Qi+3T775%_e%cK0*FdwRLTas>z5Q;%bciSWqV7Q5~}k^Y$7S&$<0>Xn>KDr z>52LMA|OdWqh7{RxWK7V2#=k}*8D~5qSyqu*Ihk$T&u-3QA&Mzjqb{Lhs941Av3rX z$z3x~pbQfR=`w)Qr;wIynhwqHpF43*cp-Tm*= z46=s(FcIA`eEkg)?#CwBe2zKcVP`Xrk}c@QfW_$O(n{;YUX(bSK%_^5UWB)~{m+@R z8&3C<11XZU6V=vMo*&D^^Wt|8tpfY#T3jwk<|D*0LFEvI`o#%pMj_Ao{dRg*sk-@u z5b2V>8x>3Jo7KmIOROZV)kT?WBB$p+o?-Tmvn69#r5H>6+)S4eqd-AhyLV5>AOmv< za35oNzgVkezDFpRu92P2p&<)dZlAq);g}t|YAfi!F^@rqgQPuv>?qFf1RPIHuMlrw z_&!p#b1i*jy7wGa`_O%uJq)bw)n(#yfCq`#z>*XOp`(>yVFpA3M%FJuLDQbXCtF1)w4A!f zn|C{iU&7#|-kYN=YL=RiuHELZ$*(o!Ij$bQebG)e88-QR{~AG}wbK-FV@vgXfhhM< z{@rQ4J^mY}w3l9kT3G%9IFUl19?$36Jn^RzaRW(X-ZcN8=!2PI68D;&ml54&;P-d& zq-)}YOc}8d_YUc1(YEV59&+cW9kbtqgvD(!mTQ$C|5$J`aC>69nJEufO=VDPY)DX3-LVg2ja^D=J#>Jb8WD7U|&=g@;>j;x;-LGqu9t;NT>^ zZd?b1xL<0He07EBLP|Nq6{n|hq|-GNW~3R~VGY(`2zqac2fSW?ZXg?}ZD^A1T9P$j zjoyMnTY!<$-ilApF`ooY$tJOAzeyd!42FN24WX5iek!9q=woQ@lYaC(r>>Jq?sv+8(0cg$;hQk1d!w{w3fT-RO!NmYSrHaV25}$D)q-vb$6H&R}?E(gdj>y#x|Hh6K=3s1shRi|+=H__4{8xdnCwV5ra09P4MD}lp%;tZ6$j13){?Z5Z;%Kx>nb8vC|MacCxV-7IOUr)yY;rfdS2RrNE7#9oppFFrZ z#kl^dLh$SS{HHLl^YuUK`tO?gHysB%J1aYxNs?_c3`s*mDhxAfQd$`d4!{QfiyN4Y zjq9J%bFxEN|0xwGI|t-%jGN;xi~|Dsr|ocZu!H~g{YmbEqpd6wq%h1$BW>9);@g) zr~k~OSA~JuxzUiRs6^$G=zhSE{(ljIS>D0eh3r4Pnbj4IO_ZFhUz`8Z1o2-2z7Fbt I2`KhI02{`r(EtDd diff --git a/mainwindow.cpp b/mainwindow.cpp index 6625f1e69..6bae14703 100644 --- a/mainwindow.cpp +++ b/mainwindow.cpp @@ -711,7 +711,7 @@ MainWindow::MainWindow(QDir const& temp_directory, bool multiple, QStringList jt9_args { "-s", QApplication::applicationName () // shared memory key, - // includes rig-name + // includes rig #ifdef NDEBUG , "-w", "1" //FFTW patience - release #else @@ -5728,21 +5728,31 @@ void MainWindow::astroUpdate () && m_config.split_mode ()) { // adjust for rig resolution - if (m_config.transceiver_resolution () > 1) + if (m_config.transceiver_resolution () > 2) { correction.rx = (correction.rx + 50) / 100 * 100; correction.tx = (correction.tx + 50) / 100 * 100; } + else if (m_config.transceiver_resolution () > 1) + { + correction.rx = (correction.rx + 10) / 20 * 20; + correction.tx = (correction.tx + 10) / 20 * 20; + } else if (m_config.transceiver_resolution () > 0) { correction.rx = (correction.rx + 5) / 10 * 10; correction.tx = (correction.tx + 5) / 10 * 10; } - else if (m_config.transceiver_resolution () < -1) + else if (m_config.transceiver_resolution () < -2) { correction.rx = correction.rx / 100 * 100; correction.tx = correction.tx / 100 * 100; } + else if (m_config.transceiver_resolution () < -1) + { + correction.rx = correction.rx / 20 * 20; + correction.tx = correction.tx / 20 * 20; + } else if (m_config.transceiver_resolution () < 0) { correction.rx = correction.rx / 10 * 10;