From b9c5f3567ed276b856accb39779446c4c944e79b Mon Sep 17 00:00:00 2001 From: Joe Taylor Date: Fri, 17 May 2013 13:04:41 +0000 Subject: [PATCH] Updates to docs. git-svn-id: svn+ssh://svn.code.sf.net/p/wsjt/wsjt/branches/wsjtx@3279 ab8295b8-cf94-4d9e-aec4-7959e3be5d79 --- WSJT-X_Users_Guide.docx | Bin 330163 -> 330553 bytes wsjtx.iss | 2 +- wsjtx_changelog.txt | 45 +++++++++++++++++++++++++++++++++++++++- 3 files changed, 45 insertions(+), 2 deletions(-) diff --git a/WSJT-X_Users_Guide.docx b/WSJT-X_Users_Guide.docx index 23dcf698907e9c1916ee4142bd0a0f5c3c04040e..9715404f33d95300da920a2960f2040737adaeff 100644 GIT binary patch delta 56560 zcmV)7K*ztclN7m@6cbQO0|XQR1^@^E001EX((rDC+p!ZT0)LjxZrd;rgzp9V4g#-* zL??%!C@klYrpF{eU9?x4TG>SS2XdXnZ{MY43r?b1YE@qZNYu=HT#;POuV0MLo*+c! zY{@Q)8Oy**S1G$L*^lM7{4>iU3R?;7ER<{qkzFr7ewZ(J9%4pn8%wr9^j|oSasx)h z!g;VXQoCRT(tmAOb1&qhSOdSD&91m~7QteU$%8HCU!fLTjoIxBJ#I<7U9;?_eJ@3o zOqrxl&v~yRfR1k@!h5ZxK$;tWveg@|e9u&nvUw+Nl#ibX{0|9f_G&)6Nbq7vkMikoZK3kb#dCJhtt)ylF;dsn-5MxjDPf%j4rwnBa}Vx_i@N=L}z{( z%@u-q2+qfg$vB;9@`Hd1x*GN|G_|l>vw}eMlhfZ7qq9C$hz26!PDA|v1g-DL_@4?l zw{;DY_Q~+VFfmW)MH_LX9Zmy8s`r?X*Sj|#T77ZvJc#fNtM6x{I5HmushvaX@EqU1 zvmS&1TUVWl;ov-okYFK9KLOtD!Pa<0zQv_jX&ABc|8h~*)HxdKK?tOl1-!XVuS8>W zDw2-s=Gdhc82(|}hmMuhIrV@M=WUlry=rouAvNdgNl+l(Gn=W3%9Ci$3+l+H|E>oQ?KC!arDu#tmQ=V@cKO4vd< zc60sy-PL#1q~QPLs@l4dpGCWbRRH#*5H71!n}0fPtkV8XVY)U2|JaqnrrASUDiMvx zXQJDkgIzzHb99#{th>wo_a_VMzr25LQxtWkKAEgMLaFdRtr~Wj@z!*nF6D^%6nn^#-*M3D!)v`%-zHMPgbS6 zRDX>rIm}_0<(tWUkZ$LoI-Q1>LK@Dx^;Z8%y1< zY%nv{CyW9_X&YXF_ns$5dK>3-E^#lzLJPVSrF)jYyp}EJBVkilZa-JQ8hsV$y_I7!8wj+U&r&8A7oj zwaf5{CNKEqkt`N<*qGEx5T4PdEq`PUZJl+-nF4rbTCu(iSzdyiKfh-Y>)!NN4b^Q? zEE}+G-S^Kcj3v??38S>X^I6`C9N@7s6v$Vut@d9nA-jntCsK%JZ$({5 zIo)vs9orIFwQAL>bziIg{eM5c%%;X?+YcPieR0ry-Z(I9ckE3Z_x8oXk5{8-#|K6b zTJFS}danKA;NA`n{=@w5|LxzGrxS0ynAvVh za^@9po=Xhyj7Ppb1q_}W+&S~rGPWHJOT3Ff`m9^T=h-xRZaHsuGV{etUS|)^eQSxW zSPhsNE(aMC@zQK6@P9!2yV}+Ch|Pv>F>*&}h!}=-KuFFRK{B%(Hy&m?)TqeCiP(j{ zU;5r+9)mM?b{p-r`zaoa4(0}sHF|vV)TIR*4Ujpymv`3OJ}_qE)7Q7I=UdlPK(uUj z45G*b6U^e;o7~gSxv@M2vzdH+anNY=&$`1=^C0@@+`h3E(|<7g&3ok|9^}2xKQ6=j zsg1WkThkW@S57#!502=IU&qmhWAG>2r%#VCH@!wb9|3v9$RmyHd}_L_PN$K3eA~zE zlZ&(HU{`T`!8OF8_eY)^1~}hVFm}L02fkxL04`7O25zAKJqAw`Uoua)=6}cD)bk_e z(C&3dCr!aJf`8wlPy4OtlOavRSQ4LsbubVSE5V<5fVtUwXbp`) zEctkW$j{?zI}_|0s92v=b5SALO@y5U4M!g;dz^gy5f$C&bUdQs5f#K*at=sVqSwMJ z))sE-OZ%@uxRpaoO4`ty-}}z(U1P_zjA+|YDOTBWMEqt z(=aAG#u~y`cD-_y$xjkWxwQzr$f*{+*?;OppRC@EKAT$ZtpfFn1KWM}<0U;G?bq_u znZzSCdhO%ZardMzMnqilz2%4Ru74$L$-iD&)2Z#>NAFxwh{Eu0j3K;)SyVv3#4<*E+hMiGfO)5-A8@-dP8?TQ)4wS9|_r=&ne zG@eKk8GY`!LFixEUpRW7<4Xkz8NYbOLGu}00KeQBzx-zWvM^}3;x`pfGJlO&08wDi zE#C?ib_Q2HVD+bt`w75V?)c6F`u}<5joaN`x6^6>_xR$@2|~}m2XzX0t1cu(6M~iY z+KsalK@QPoCH*MTtm%!wqT~yq`PvPAZ?YIuAU{EycqRt|MzPoybz_(I^($vGv0a51 zFew%7OjfZdAFcr5dJ@=XqJMbD3v})GgO?W{FGfaU3yElo$YnP~(spG?dz*C?ATW<& z6MNrob^1dQ)|PZrzFDD}RN^ZjrvmV8cd}vtz*T(M_`GhyUlp86){_x4e?|TpBMfAk z$DLSJE#giUvZBlTAhc)3NBdt3$A?k}EhWv7c40poy<0$QSzfczsDIlqmZpxeY%qJw zMK*Y6BOzA-%joR1-vxX2$RDJVzODXM#vfWb?WIEg@ER%{tQyWaG?1ZbT-xDcz7xqn z*;O@^Dvrhju!zV55pund(tD`Sf7YDe7Jn~XkRqCoo|RQoILV{uh73+raW-u zdJoFOJNMb;*tcz$gCxE;ePj=fZuc8v56xsQZ{&7e*WLZ7H$05qfZE66=t+hChc~vn zcw`BUZtq)S3EfAP;ZLYC^!LOPzPInMJ7fCm!*mi*!5# ziKoUZ&ztN-Du0b|=E);(cyu{XD}skhIW2KX_OuC`6}lV-<4>-)oZ6Gy$8;!8mF+dY zA?DC}^hbV;`G4qT%wb>ol7l(=!%dto#^BVDxl#6n6Qf?*E@eRAuaPsgAMK4s?_0{E zd}JHQb^ZFvSjyPOzS1aTwsCf97$}1?zPmaxP{69_67=l$?dJBI{PRvxRu}#C|e+ef=?^{ZzY=58Xgq*gdusR#(Y0)_$q zT$Pbf&762*O~_Dfig(nu2jWi}KG8%o*)u~0QE8A7)xQf6V!ebL!AAWntY0;4FX&6P zMnBQ{B7gDbs(PP3UX_Ld+hSX{H#ep@Z7S!wl;g8WNdx9-ZUAfVan|sWk0E5(rMwfbhwtK2%yOB=>`xPRNIO>%XOu7@PSHu>BfL4bZ+}nTqZ!JXZ(E=EYi)^sG}}eoZ-NVy z37ibcrF!Qmif!Fa_v)Plq6vY0erYWfIPjfJJqHeR*JZT*$>)L(H+)h>s@C6+!oWQUQ zx_<{^ftDr)?jN}It(h^g1LxL-<4?DWi$U|abIhwK7(Dch8?;ct?Go@J8rNJ^r5jP% z?}8C6-0xs7e8Wd?PfrWomiPcFW((IDi}Fw%u%ol)dFM>w9it`^WG9b;w?D$RB!P zjm?dYxR4T^<972zY?)+UsY7n+TZ<=P2Q)eWl(`DX!w43*M&^s*z|{}p&U*7B;D1wm zw`jQV&I;E-eKf4ViL#~woRqg*mp#IXc@_buLzMzTXl7y>5x9f}bt03xHc?na`-VDp zJ^_QG4EqZTOC5^DW-Ch7`PbOgYo9u8tg{aqbfKMBbfDHiTm<1J8@k4@44?Tt@no+4 zA+eGKBlV%8lqA1lr9{E2uR)8_n}3};o+TiIK9UOn4Yv_tYvwPUk!Vw8l_qZg0c$M+ zkRC4=)rOURUk93NFO3;Icv=|CCmmCFxnd8 z9T-R@y*I}A-5Ntcqj{J!M$(KE-m#>mO%CvQugkLVyQ|#ZDh2z3u|XnpZ@!qK@eC>f+3J`?&KjX81n(l(*#-SdfaZOfnD z8@NQo1r;G*d4?P6EUj9cIDyH#M-(2*EUeY+_O%)?F5L6cfo=hOQd^fm1RA1i`I4d~ zHMj~!!C}*F$3;18MXzU%Y5x3t1d9e)4?jyQSP`0TsB&x&kG*(vp?^I;Dvg>?vKlmW z4)5l|U%6v~xjmh-!$Y`qViB9Bcys1??zOjY$7zbm9}{kkk`eQ94yF64c8MyYOet^v z{%Ume<15K(i?F=zt}`JQ4MJ$?_4{WhCpx3<4!aJE%p)D|GnfF|i8eF_^lJQE%mK3y znzZRlPX|o`AO3W*1b-_qK74$ySXjE()y0@-Bx%6Jcs2T)?iF>${7o#}!0Zb12Y}2o z;3a`dF@ZpHTyQwK)qF+d(gGHxTz%~lXAFIK;SO2G;yrI3Iy2`tyiE8@uZ49C6XZf1 zYw9iGgSqk1xei>*(c5U{D+g=Novvt^C$vlp=PEQ>#^ekN9)CD#V51i@Qwl6TmonQ_BJ_P2(`we{qbVI3#Fj?XbHMXA}NcQ~|zLjxub(LI(w zz0YBY>VJNy_NqBZBSn3(oc9s{&I~8|!{s~ec~&RQM+QZ11*9D?Cq?R zSjeEz`UKMG7+@W}2z^={sHgEX{iKeB($6L^tBjhziVPAoQ?(3;+_s_e2fYWn+|3T} za2kGrKieALrN7y5WpGgE9W62bA+!!VT1v=m>3?8RdlM)ng4UUx(XsaMk8ePtxMZB^ zU@9j*43{22gKyZ0P>@K}6YEL8sA5B%*^Ey;(IDxmb5y~D$1t_x{`6bhPmd*WlK!}8 ziZw||eSO&N4ic1<@_@4VBx5ErC|CT{M8yAJ_gL+kQW z;eR&;Ta^a=%tKIS?D)8bo*V1VD^d-nU?{<%eBVi&% zq^3jmw$e+en1WoRbIK|S_7~ww8=?pe^094jJ$Z}UJ49Sr5(f|yxMO3b2=hU9T=bB# z$mWPNa3>@Ic7@djWGG+{b*tFZs)bN)Lw_ifof&!vX($V6JntA#LCLo9xS1v-3~V~# zM0yr_&s>kxXx%#0?KfHVzz_kMO7!E8-~X5Hg7v+z0unQ-IsKv?RSm9JdEtIxp)qhx( zg`VS=s5x6AC1zGSgsW^l|FB!rrFE|t!leM3v>i~~5w^AAqQnu2`5T*<_uc|uv-EIn zK5#JACcbr^RL0f>0Q6CFWsCx;%V%W`d`IAl z4OYh0z_!M)nr$K7duIE$Q1iT78$|A(uCo{00J<|0mtaQ*C}$#U3Twl_-R%kMiKSZO2&USAFeS9lC!fc!Hq_;|3GlfoxB?HU-|X1!|+D^yA;Vt*-u0q{5* z0ihHwf`ro)V6u}8iZ_ZTpUDsd&d{&0G4bl_8-tk79r*<1$%1x%YXqNwE;u;Ifx4K& z)8|0Z#cs2=(7A@GN`DbslVcH+7kWIg%R^(1>y{uX5gM{-28yPE?L!F&3@Yu;xIH2q zrTr%POqnL9Ynyq81apOYA%7io6LObH&JfzxOkzj^$`{8AJUkmyMA*0Cb93klB4Z3s z-?a^1K<=|_x=5UeXT%wSO>ITIvY2Rn;&3Wvn>?I;@fAj3>hht`ybHtm>Cur~B1Gpk zU9jW@&nNbgTwrjt41Nud5(I=HV&I8v5|Ii8m~fqhhU5*hHu&qE$_&V^-MT$oKkjqccvz@?2+6gOQ~s<^Esf!!27J8%iK#r;%899?tQ zOSB5afB+p`y2kg>=Sp@7a-l%CUnEGP3j;YYU!y?ij%(v5^&v~%wF{uE;}E7LXw-e z)LO@Dc<|CRDdfY??}>|(K!Be8B>ha`R%vtwy(nG-P>$|l?NPw~2l#`&6hTHuQ_XD1 zCZT93D@i`7b6O7RyG!He;>4LWWci|sbO>u~ zE@`;J;|Il-l=Bebr&h=KV)Ty_tJ`!B%?4EHz?&|}1AlHj;UGL*#MhiZUp?u|u+e@H zm(K_XiwSBu9G4uT>?&M@^edEdj#lo5&6RP9$PHUgX^xv1zY1DR9@F}`NyIl3da7WN zkxE2TL6pj{y@+C*C>H}>FJybd8%Cx#*?*sGiJ$jZSFlfAt@uc==}a1{hIf$iL=FHp ze6xK*ihq}sPdX*8PBkWK{sV?NS){BkQG)Q_-~AlH!TzeNqmM>_XzTb6;y5YuY9fo~ zMXTRzb7%ms1$5~m=|vr3c9u&d;?hH*pw!yjRQ6pfcdte^##Li8RqSg^SzU7f)p z+m-!NG#VS4rO;uQg@sTwOctnoa!YylYoZE8qJQs$D$D_#j^scluW)dWdJ-Sv?Ke_M znO{c8gP!S)KM||=@k*=14My$JK-}|NpplduTUCL`--s)C%B~mAG=$D;NOLbLHAMas zr56dmBXkD~=Bfj5^vU1fnAm?Y@KAh)rSJ)Pjh4HjoQoUFwS`hisnMGna@_(dr z9&k1qzz%j`jm-cPEk$+c>^1sl7qYAeMYicjd5rvHs$Suoeodu9i5vk<-d)ep(pDjY zc8<(e0nG;}sS{uev&}Pfd^>_!i7+G|=iNK$c3bB{x!P1Y?8);Uha>_x3Q5gdo?16> z54|{OwmAOAe+_Wwt$YAySt3M6B!5OIa!@;KXY~-J69*w8HCtH409sn;7&a#o2c|aeE>&uIpO`4hw3@%#*8;?PYs`_92=j=Nyto8_LMG{K zC!Of1V$~V%Sj9^%VoFeB7JpNHE|=mS+ZNIJv$Km$hu8|XIDck3>AHz4*wOrnL0Oao z#OW|805VpQw$QZ#)R;rm*xlMcc)J+&6~K{?sWqjbwmGfBCZgjcxN#2DwFt&?p-5Rn zU;c^43fPIMcl$j25+>*ZoUzz)%2~$7B76-Gc2d9mn2^vBUiIpzqJN0|+0{{HDcf>G z^GEKOARUs2==5XbGDqb0-6gg@Wl>hZhRv1ULh2`#+>(xS<4q}1-pSr48SQRj%gIFA zcIgFYz0kylz5&aS(-n$vQ+T4+ItnmR6(gje9iXxk^bM`0V+7+Yb<`_xfQb^e^yREM}KZ{fI}n_$HP0Enbo-> z_D81;r6JLWXs7_L^A?F>v~75L={qQ%!I=`N*HIkFw{Ot~$-h5D3mH!5CIcVoU?uEI z!S$bSvr7?q#DF-HbohD)N2Z&xWW}&LB4TSFPuD|^%f#vHz<@v=g-SdRXX!}ic?N*HCvDm z4F?ix0;LocsM1?NlwD8_kWA8mTa5yC%ox)Ms$TrQv45z^+nsGq3Q<7faNFZhihx_+ zDW179Iy8~+LM69pd`9BE)+JJTGp@gYu>glP8wn@~hq8jtP?{w^a?zU)+1|ye-j$&u z{}70hK7@uDz*YB$Il4*CY!D~cYRiIVGDjt~!tNvMrNrkvZ1(!cqPN*bC%Ke*211#E z_DDf(^ncH|y$iXMjBcYPG|}D*%x(*!V7UsQCS_d$Wp~-Do1+PLZ=6|; z#7U*CJm*;l3v}xSV;{bI)6~WmmBSvL|2jC%gB`Xs>j#6%T5Qcel0$~E#U>C?Y{n%C z?XPCW$#qxH_ufzgXdGe)Yd+arye`gt;N65vD$^%3+lMom{atsqBQM}Uv6`j{QewY0 zhJQQbe#*PfMe#81He0!XoOp2haXK-4MzcBBOpr?it&x%PezSmMP)%Bw82cNXP?75d zaX_lqgHB|isGqz}=&ecJin$v?5ewaIU0)j($(2S83xvW*m$-1mbV8LVQR7qL-H`}1 zl2sW|hlM2ynlT2Pcw=jBUDFja)WzJU1b=mS$gjOGg)(VZgp#GMkc)ZYa$y^)TI$(C zWv+bP4Tn&8COBPRl>5*HS0W9`GA77vc}8h1NNJ(Qw4~(8H!M;9^MvS{Z4A0lMMx?h zl7dMPZKRya{rDeG`TZptcu>#Mny|cDv!-`IJ~m`oQ%d-*43eTvB8gj@k~hP7hkv)a zXztxea)qn{M_p`yMI~@dBhL%LAylNqY|RkTu@*gomEy2N=$k03WMHLig2D;j0Xiq{ zNp@!uMk`;!wier-@%VzO>vTw|A_%7sE+*aVbrVj_Yt82|#y@n^R-mbw)Z#~Qa$+5_ zh}8&}h*W{Ib4nXAWh(%?BdgK)?0;CLTVIPPxalb(*SbN|fl?cHCF@Qo6uRkU<#pwl;JagzM!pt|z0%544f~`+ zR4L_d>X4}%fbydQrwXVAp?_p*JS^?3n0(k>6MJe7QH3u&2hec1vLZ^%TDTT_4pDo7 z6fAMm1iFrMIy7B6H%ZqHC!|GPbeJ!}MIWE9hai*47Fv%~u_=S?C%T+ZHI2z0CdXQt zL0mB*ryXHmw=`fKGC;~KQ8o8mH&6(P&IiVm047$GdWqw%h(P$auzv+QdN?2T`|VXO zS=|yt9xfe3mF{ghkKkjjgQqSGQBt5ACAL%KBIZR2<`Px?2~U>}&^@ynty)@}&WNN} z8D=0}K`sUI_`)L@^LS^5v~$>3-XcIuS8^MXTO`y5HpFy@l)xu-hi%RNZNy}4*kg~k zFhqeiO2v-@WAbE{X@3wkDVXLZl@=@aNwX_RI-4V}uWru<-R}ADEI-1!lW`=X3^B2B z7k&>`JZ>%_m4YPP#KQ$7h@FY{3vq4`Q=1m%nvj{V8(?SY@SIW{4~o$>-MfJWaFCQ% zAr1$HREQa^x~x$weaVH6MXojGmntZeo>B;sIzmD-4_oo?yFW7!HO(!(iGM)v#J85%XpA;L#U-Fy5YJ9|7$67}pg9Nry{3P~li;53(ZNP^ zVG%u8-aF#*W6_O$emZ{p`K52o@0{_7(lMzAhjj`gEr0&x2lR6bh}7NS6urb`&xZhE z^cn87q#F+K9hlS%&48YJ{_T;(!EAceLWB1sy6o!!nuI7f9`HqbXd*%o9$_)M`FEXF zSql4KQSZOS0+7N+m!M#W@Y^Z=mtf(yokw#&zjv@jVrZa3?iO}2XSZm2dPclq5h!AF zvdCTG+kf}HC8c1aznj2$a+D+bGXcf*)S073a7xId-_g&?h6Mx~@?TGy9S|fX=Grd~ zsMQDet+P%8fBX^h3R{ZbaS=GWW74mvft$+DkCZQX&eb#oK>!wETrb}sb@0W3g{CsV zwsrdD#-Gtoa3=#t0$9OWVA{4$DXCd^PB3Bg`hVOH!k0FhtkCxACnYzaE#lcCpMZJd--^?7!s-HTu7YE1GJWE1Fm_|f|Uk=gDSp1F&rY`1* zRw{qRpFFudZA7B#-}pV|BJ}%d%v?w^@+Vmqovcz)>z8Djz0|z_{E2THbL^Eqv2nV{ zm46RwhZ@Jo)V4zVOxXC_Ry1UN=U1djQk-Haa_iD6?+!>PNCRR0Gd)exT&s9_2vMi! zt6^DiL82=W-985om}2I#jt(rt#&v=_Xxwad*a2#E9HyEU2O)<$VuMtcX&*?&lJ2SKg7)k3XKRcOBZes7a2(-x`W9w}UO z;WKY(8nTT^EPFU{CRbs$^!WQjd%^nm29UB9CX`>~5I#@LjqkH^>Uh{~j8-<8VoD^x zVL2u34%)Imd!6mJp}Qh;Jb`Ie3-Hf24fxReqJWUdb2LT>nT%KXv=-!_pc^j1Nq;Az zSp|$9Be0O>OyUup)HP zupB6IvZ_A~&n}arJuewY%zQ2d)`Sd4Egog4C<(Syy9W;eKl;b-{|S}Z^C!UNAHV+> zKZeiVPp$iF+}J`NMRy*MM}O%6DH#x(|$ z&vd!(B$4oo`I+mm)0kBkzM5DY z2+gZS_Wqgb_n4AbU^zzB^yJPo0vbioVXd?wB!bW!|H`hRG+m`GbcQaYh4 ziE3k0KJRvqhjl16KOjm#%A$yRMvFwekl6??PN+MpBgaWr zP}Sr;W2714>LxC7gX#%)DwW8INVMn~K$a>BiRN*9Apsfe<|Q8CR*zY(il$MfIi64W5yjHbG0T&_U5A9{V_w3bo+pyb;l% zAZPFmbys1m`>c>Q8~?@_hdLS&A85|=aIrHBiIv9AQi7iKZhvv%0#8P~E#f>nl%Jn> zI-}iMF6WCj4^{z=I@E04^cC#537SWPwz&`{A&1Tds|f;X|B;wJ*O!nAOA3LS`cP48 zlHU|K7`DCb0Pzt-2El(`9Q^aj8@Ic?Zl~4gwmBdd-F9$hp;x*Aq6Q-)llck(54yD{ zu~hh*Sr_Id4&L6TFU3g2 z@StrGURc!<9z_z2cNSWnQvS?@YH6%7a%XUbJXpjU3xC*&W|Axj3TSl7Nap3zjJ!}~ zms#^^CPOQGnBw79D|_i7HJp0NWY%6AVA*p51@T#P4LXZJk}^o#t5d@s6_pUyN19oj zP_X_hLJ76IV{hr+V9KK8Ev3Pv#dv*tQqEAn20A&1JNv7n6lmM;gp~P-Zb#=7D5&Wa zy@K&u+JD0Hq~Gti1_#v~_beR^7f7^*ZunVyz$yizoR;=>u1Z090=+rzb-p*S^0vwM zk*~Km2ucw{wz>|QN+~9b4|1bi;%-aESo^r&86-FcjkTU=WU#b;*gEEV#E9=^5 z?QQ;YvU$S3kZW8)B6=?E4YVw3E_~d^w72=oQGX-6ziR+ggO-=IgVunf8ktmzn5Sft zjqf}Uv1c;YV4T^$%QB0-$4Hq<_~fKZlQRV1&k!<}{=(J70h-ftW)|F$E05ZQy=QQW zt|5;r@OtYd8MX$KCGBmCL9C0{tUV%7EjkKg~#FPGM58~;$}Ib3ti zDSr;^uiTh^whfQ28<&c3^#G=H{-ptjS^?U===8fg-cG`q8IeQV{=%CiZsTdT8=dCy zKb!r|S0o&sFRjlwiT^;dNgNzP#sq50#1$D+=ab+7A}GeKr}h0NY73%(U+M^4R@dyp zsQI=DYN)N7r?&NJyLj*a1c53NXn@SfCV%=#WJKp&aZD$_5sv9qcujhHlYV%LlD$Wl zKF*jIF7?^^{Xseh+hBR%+OuC^GGmUM^DSr^n z66gVZgAfxcZ!FjO4Z%&yCPND_BrHR!3KlGtm-3%V}W zqTp=QGxFn?6+R9D^#rY=x#SUDPb17qRHi8nvop-*$6bN4>HbUPi_Pcg9mS}_)Yk}u zG7NkjY|#{S;F>4xVe35Y2M~4t8h@-(Wb%wQZa_DVBBKtG$EV4|v<-qWpwDgUYXbSh z3HkVF}XkX;2j%A|>x4{dV#3H6{5A!sk>eWblL3u;V~hbROtv zu2KM~em7jwEeb@2>VeizeAaFZ2Q4)f?>d>VlJC+l?y$M;!MXyNE_)t<-+zgH9WRae z3PP#4QyL7SNjbUHzM>_Pb46DcXocJr1eY}lhK7AMD94GJQ!Y79&H5hzx z06sjsq|#);i(^mcx{wosOUS+ytLDu*P$Gt!3uEc<`I~0E0hdk9yYcF2pGpSV61*GZ zjaAm$SV^ic5OcxYK^gigw|_z&CMt{(5-w)ixg{1EYDwQ{n|WY;ax$;Jq_CeEjAx6t zJ@Ylq6@R<&)~zI0GB{X=3bb*69sCU^G*vdeQ`9|oS&}v*?yG2a-BD-Ijf*=9G`o$L z^;H*s7nc-HDk}-^wOXBSgDP-Q2HQG5>7R&fjGU72=1HTAa#>Wn;(uS1g?ElSy@JYc zjF(euTvD0Mwc?MJ;g6N!tIF{1*H#%`bX>mG%J967I7u5^Ef=qchwmhXq}8|47v>fqg? zRu2!|tAnVL%GSS{UVj}$hvggP$SDO{7|p2<6|MTX(dzU$znFR>vsSH`hqe1lmuS+0 z@&dRNmZ#PYJep`I(*h5Ze+`hsEFU1H6P^#S>um~=i~X`^jqZ8Ezv7QjQH$*Q(>2>B z6f5Zk7BDq+gBJ*%%apYl8;s-x9G!Fr=Oe;|(zN<~XJ`vx0)H@5TCZW3y861uy_QmB zFTFB#0j7G;bm%IG)$Y2vA=R;>49^azg%&x%;apO~YdV-tM*ViDsrv3VrLBV9`WsjE zQvYMBPtt*~Un)W@0r;6|A*ui=yeI5tr5>WX<<~_O`To0{fI@V9=|oo$Da@buCu%*Q zLqiof=Ozp2y?}q z**5V2;zNp^5W!mG`{s97*z8N)RI+*D))EWeaMPi3DdIRB5RCkzdR$kq*ZEWyh=?7E z+(LtHztb(gHc}VWdAg}XNFEB`m7EqtA8U7P_vw*9g#^-RC-7h8lBC4 zn@SKxFft$+#*s)J4r^00L~IOQUMOt01_XOTo(v!s2hE6m*M=0XpUsKg#+l=|ik)I1 zI(*ee^$&H>BU*ltMMJ;}lcKo9fknx49NS%sdUxXeE>Z_jY#nhOG}n0$RGhAJ zOm|smzJIXM&RUl`kq^qtH~p7`pEMg1e_u14*bHDe-wPxO*^zgT(Oc{Li;O%VBLC}GZZ;UT8Zx;NkK58sZyrUUT) zyz$*&NJjwv0BWX24MVua2P~qw=cDz5XM8tket$psQFktClh&f)nr`a4v{LhJ_xtm| ztIUB_P?Deq^(@xGB%2BBB1Oz+x(RAqG>E{~_TH~R7UUm&G-JW74qCQT8<=cW%N`8UjEjmv6^rT*G5p0qDi%ES5SC|AJB;0MP4tdJ9qF3 zPk+fXEHZ&*eln$+iD#%N2Q93KA*M(o5BSQhIuq8@n|osjr3t1krTs!@XFVmb*;%s& zP`hxS{Cxy7&Y})^ZY6HQ(MhM%=c^kcpHoF%<0m5&axz}f6>823Em<~HctC#SL+X_S zAJaXYc{th-WN>?7QhfRW$Z-1jr|1AcCFNl;u81yrUKg)N}@d-S?W_&02 zTQ^w+Uby_tjWUSWm^?}D+d`DBA6vUq^tMwfUe5v~$d+|FB-BRETs?%V%4M>>EF%I% z!@-5zV8HAg?M7=8YuC$pFb^5ktNnX>h}5rq#o@j_LhPrH!V`vV}CsY zQ;F)zRx$FMZ@oR!m1o>SI_aoXhu`WY0~fj(H@3|-KDBI1!&d#A#Ol+tM3h4`zh4Z* zRlGWoWNt$BxFA8t-Sc#_?-wD|ZCeM8jAZVD3zJ%u>*kP={axlLkQ2ID>%y}+v-oBe zL_W;$cX;ABqZn|;IK9EG=N=*|w-Cd)2gULH(*!1~kZ;N53;DKcizTy`a*H^{ z4P~eJ?lsjj@Re_UN-P3c@e2=}lO$JH{W(1M7D$+Q#w}Qh?h^X~Wk;0voPLO_u}%L z9lFKVMyl+IDe>{QPWqkW{!Yi#wD|5RbXJ*bqKtK8o!kiIN@tlk^-#49wfLc!M6qMF zW;8?>f)O@Igu)94`?Y537;|Iw?Gv6}d&J z!1^`1vQG7P`P6pq3h`ap=9^J3cHPcaakLA!soXS-)ycRp87VYlS?2dkowQptoh~()5vNm@cSKm>8pzW^d5mNp~q&GGB6q z*hK8;i5kE~Dg4?)T36aWP66HKqn&$ozxh^mE|fLwP2g1UOSc`Du=UOdbzon&K1)yh^C5egEw#!g zkGu|6^#g6b33j30ZI$TqOaW?67a6_i^dyWzZcry~gOm5+L1#mCO6bjG&pA<12&Rmb z9@$7=@<<(B)~DK#tL!s16x2;$8y3kga>GjPofUOEhMXWrP0F*+NjbQ0FC)jcBGz3F z_{M5T-VxsgfPegRY+ShHo*>Vb48X0iqcw3F^U@9%^EKwL0S$D)i9VZNQgcmf&)*%f zIUB3kd8AVl++QnM>!_##+be&&mVCXZZt5!H<$V1%1)U=vEVKl+@x}e3)HIUwy~I_2 za3Q0A#0+W^vBP^jj&I?dLMbFHaomkZeHfgX{X7BrI)CwLCDrON6n$_790tZ)dueMd zEy~54PLtEYi)gF5El!1uk>p)8XRS6OIj3YgY~)EOf}^^%2k7lPMVo854W3v}i{@j~ zXZLe#7JpWQRkomfeubtlV|$*dcu5d?1^$Qp$#eKrsUDwsK;H+qilmpC0w;CvZ0zdL zas8uOTCc}3AdxZ3qozSt#P>+-$jlAQFXKk7NoaP%m!Kh zbI(PtCb$d~K4gXfq*p?Bbwy~;?zQw@!E((zZ>l}bRanZ|5Jo~zsbCrTOwqtary`er82VG= zlYh;m_%sS8aN`!dD-xo_{09IQ4hIasCmC`hjWnZeb}_aGdgCki9Mw zCkzTv^^1kUBdcUY%u}O=*SzEmzpQ?-ulORVG*Y9m4GRcSj$QrKQ4=2oP(_nOFluUY zaL7%4oSS=6R!TcHUyOPeot;`p7qt1!J6U_d%Lg=4g-Zb5fIJJZ`h9rgq4P9d`F{y( z??DKG)WE3g1&7X2cVSV@I4FVI2gGUYF@McfHc7tRFH^1RT5>)#I;l_@BFxqGvSd~~$cFR% ze?>D_w$gkgt7hZ9@kH&v&3Dunfko=dUy&SE+&H?aHOYMQ{eqPFE838Z>s`*GoNP4RiNE56K<{o?WEooma~cTSHXhvajBJ^wIx05We4*Y ztONwmt1?^27h4sIF1O3h=0m{&Cu)xDTE6f7fA+q0xs4-B^HpluKUSI+EdT_-ONS5v zf~4gh$(BjF)jfYE3P6#p7Jq<3R{^5v*@^HwOh;@?#LPqNE9}0BpJcytGP97Gm6a%f zph&vm=(a&rAulISp8MqhP(ZK0c9;9B`+3|3P(aqDA&W-p73w!2G`aV!VsIyj79}&2 zqW*UezIXR}Jf_tRoqy-#k+y~wP1K=@d#z~QUwl`Owp>5l>ord9l^5JesaAh**|uqt z-btg^z27A6^HfJY6I-5 zyzCUA?L+Oe1eYIpukKl0@dyS_{JKDRX#MLUkM$v=Ccf?|CorxyA8%NVZE!Pli|#S&AeX_yuCug&jEmgHgwcG=d5q-{Xt zcVA@I0}4>R&qg~UBF49yO=QzSEi9;Q_gS_ZJX-kHZy5VnpkysnoZWu|n0Zb1B$TzY z{r$Z&R%3l6PBxV{>Ot4}OOW2jD+s-LTsz^?F*6FMxQ;kWI*pK7f=(`rgc=Hc5j9vd z3Upbt)LCLBv3Sn|TP&GcFQsW4T~m>QzfUGHD%ASu&_-7PZ1g8o2eN_+LfFu@XPzPs zKS5GgN>wS*tviZ8qtJgn%Fl5TA>Xn$(2dPa?L@jh0+q8lvP(Fuj^lbbq=y%niq3kB zoj+Y1b5%+D?@2fdUqbsIMoSQJ6QuvAOho-f3T!EN4?%fkRKQI}FHqqU3eamrC6OiV zV?06919~sVUvQIDTMLAZ`9S=2F@tV9R@x5-pRUtn4&`l<#7KXo8=){Hx5o*^w|li< zc&u_W$U;0$#%KVQ1{vrAswN;rFS*5^qv#3^qpp_TKzSIZ&amzY-5$lFNWZ!TosSoP zyxje>56tV%wfwI6X8pQ{%axI28>S^mQya*>M)jcyXi1iZs0FQZXSgm0rOyzSWg~Q6 z@_}aq?Jgmd=+Xetq4` zMA!rpDCiy52gHi|;F$2Dq|peR6FgKR9cmrpBuQ9`uTXzp1`YH2$d?3FthaaRlMm2s zG9*7l`N%9BPe(A&agqdW#LVj+R2W|4$MYndfJhB^1lI* z-rTs{-sZ3RUl7VJd*MxxtOS~qM&Xcid(jXnBBv|5+p7jhf4n?oxhkV1`!Z}1^8C8w zVO7q(G3|e$QvoT_BKx%6%#l*Fnn^w-Pfg%ouXTJV5L$?ELFxGT3XiTg^tT)uhr%GNWkLdB5mi zWu1%~5+z9U0flrlrQc8GqR+{;Bd@voO533%L-KzK@9^|^Z~yRA_MU14uepOLY^gkvHV~4DxS)&_l?)@dSD^RTASp0_+f`alJ;-@ER5LW|>DX z5%_;xr1`7KvtpFJdgJUwxXnwBhBT;QM9=}#vd~6=&kX>uwX1LtkI=ONB9#3@g*{bQ zAzQE6!+20Cqv{{_`{mOv8ZMOmhHzh#HD?{7dvn(9KpxZCE3$;(M2Wep9u+vnOM5)e zn!#X!awHCyu3Wo;o`G(Y6g?DJHngWN2Z4Y49Ai-*v}_m~D(*p~FhBN&M-_3QBU-;v zrQ*pDLV>b3*o*N%0yg+*N(KG^Rr^j6Nu{3}fi!1|gw7mOkJ85s!P?Cdvq40Zv$*=Za~A}p9< z-qJeE266mmr;BQgBbxH2JIVCF2bp@v6J$T*9d6p!U}mXQ^#!|SB4_tb*w8H0U7>DD z6_Q&&+=OADH$FpUa-7+Z89!m??kBy?#!2D;F#;0^J=9_Gej zbBvnXxz?vz3WkIz;%m?db|!L3qxd$d8Nvi*M;2=g_` z*> z9)>j564SP_(o&R77Q_2WF(|hMpSIfzz}^?#5AYCP1TRoD8%xOssfjMtwEpq@U%0UK z$tfFPlSxFLXpYP)uMl1h?!tdU3qxfe9zobHRbSK@StD^Mr5)z@TsqVY9IhX3&Q87_ z@-uLJ*_18nGA3%_8;Qs{LZO6UM%-!%P8IxQH@Ui^Z|%d^acDWXxMZ7cB(^Y7b8^E2 zV9)14wn8y-*m$S#VP$|F<-gcNt+w=NB62g~bPWS;9#JfOCLkVNA-sPe1{Ci%A+H%R z3^DiQz6}fMwjNL{1M$LVSc2CVI+lpi?$-#Vz}{gC=V!aKWLE^GaeN{!N&+t` zyU#jv+ZAY#Bds0W3}vKzkks;?XPwe|Q*_t#LEUuHizAvWRRT4-o;jIJqbp==PX>{U z-J^8z+juy;2^y_hEqH(XGr6vA=GiL(UG#AO#FV2BJ@E;29Qwhzk7EHc-{zJtOalB51Zh>3 z^mls_pc?7R$T1Yf=o!MXk_ewnBO;UXDpEg#p^U@`K@9(+(cpjBBmJ)6P|rY9$5b9s z#O@|3j#wO)-|4vgkbqlQ%>7`oB%(|M=E{Xe`s7tZ)aiT}qfcKTV^n?1UB2aj{_zc= zEx6=X;-Km+Iw-DTPB(|54{=a}$O-D^n?he@=X0F#?qosqML8!?NZmJ%zSK?0j;2zfOtfazhZcb+w+a2ugQH01B9p{ z@)#|HW~Rym1)=ENJ>IMJx(#v*7Nl2EKR`aQrB|t)+DG@^(ph9GzdiJVUUM}B7!&kw4N=J$ao$yOMo{u?NaW6nP4td<8lpO9q`PQk$u$0`6 z45Jjb>f09_$>nipMA!8>J0UvTlk*$_ju454s1`f8iG3?RIcztO%_HD4+r?Ia%2ajb zDkiyALWh5giTZ{yq)1}1zL(FMt-Zsh?=*wyCTZ)b)+9nIImD z!j(uU!YxpemN2c5ZUy`qd>X+*RUxX&1hQ_RGoO@z-wZ&0hdDohn}hC7Z-lWcPhpKm zpGP=kj-7HQy{fR~{1?yaXx&5x4ArrF&%eWQR#nYtWb&}EK>6|P%NKz=9vjC?%k4zE$AujzE>m&p1e7L-10?_MTs^rh$1!8a!K|6d&(ZR^L zB{4ObT*cQQCqqFlkOgM7h}|BOt9|sQ=zb5z+c~AOA`x!zX>l&u-DCjDK8b(E$uNKI z|LL+vN)mmBedquFpL$TGT!Bfew^V#>dUlRP5;P$cRE<->=TlrEn7oD&8Sq3FxIw?G z+#C_BzC9(=wz2*x!LKv!<5*ZpA$>vg2BBuHLyB-G-9cvkuzMq58!nX3AJ0FKZb6o* zbUupy$MTchKW_ET>Mk45akqY0KU05CSU}ou?)AEdtb0rO)MQoFGqlq||xH=hXDi4j;F5eAb>oYF$7MU`4IS%8&M83Y(na4|!edtg$b<3yF0S;BudCHm_l z?rZQZiJ>5+R3V{)C~U4tC!*#P=V~P`Pm&L5l3WQW$?t|~Na`H+G(CzZ)NC>sLQuYh z8o$Gzj`L6N@=ugIM|daF{S`3IzKQ4^Xfp3L5g2!S|mTbn)OUg}Ibu zjqr$Ndil*eFtLoVZ;~Csnt3yuO^;r`&Y*XU(XbUV#{|!WbrOH3*RLfA#-rB_nABcV z(Ev=I^f(i*i!{3dRx1^N>5*^#`_8Iug{pc4^Ay=0D{o*bAch0Nrz3y-UoH!u?mgP% z^M@EmB!&hk>-)e*a@`|qXPU;78K4pp*1pST@{@q_zcmiJb-xbU)P&Q5MZ&9aC9<_)sfem1=ym%L7HUA)$AKM zYhJ^vkGw5~Y(TYoO`_UD>wL{i0})#+5g%z&wAL&goC6neQ}B6)IyQqF`gn!C%kS}W z{(u3sfDM5y1KAJyx9?%Kdb2}4vltj(uF^4$LtzKF#yCpcU%r2kyb9ml#(e76Qy2-p zjX>k*13-_3^624bK$<8Hj$gh)lT8vjGTzE)Vtj!Y=^I`?Em(fU`gss})9HHXf8%pb z!07W)PS^06#&CI1OvNq2(<_j-7 zOo^E&CL&l1m(YJ;o&qurQ~4ca^Sm-A#EL8#%_&ZWoNgp2lK+|&UlQEwY@;L=L}3+F zZDX3izE8%>#V={)xElg)*o4|FkfL^S(rljfaIo|Whv8sU9xIjVHBAFeZVnSZ&9f+o zA{_GU?S=7(h$ z_-+G5C*Y?e0eMOgw&y6@7u{%F6*wV?WFVR={T%Id$f2Fh`(*LW$Zxn?XJvKQj5`Ln zcT z5xxdk05*SwN07HXVdNTzvXeYckH#B?sDq}C6wCey0-9do=g>Z2-bSsDc*a!|NW4`Z z_gma(vJCd~GA_d60@|(ygh)II_Q)FEe5E`I-x!;Ff*19B`)(E-Duq;hgR8qtv8U8VGN01l!&;6SGfWX z$knL@Ys#4U#8z(#*97vx_=19WSY23fFL4t!Ah=m|=gNYibArV+R12;+yqhls?>CK? z74{jt55HJ@_3vBQq*2ZX(R&?!BN(XNwf?3l1f^%- z0SZX`G0FvT*cPleAQCCUV`%@@3A*gsUWC`2q^&LaSY7Z;#FL|QP{V9tLbXTcmb zWBgGwYk~#F5)}M}NOeyv0I!=uex{&sB9~*7h5>JyAt*Ha=A|*VlQmhZFLEI|6CX?d zsOr?o05Jp~4I%_?U|m2tV*#2PUy(F*uAYNb@@ipmmy$<;2L&NppCOq*F(wofrumTW zs0U2;-RO`YOILnjb{WDvOLBiX>>U!J^otD|b{E`$IFR})EZ|ByVgZJJiuo6Jq>3w5 zI!4q5)^Nsr!eVl_RcrJFE0g}7MbL^+`QGg8wPEiosbwULSn{U=5j)sb8DbH{G|n?a z_=WwdkIIM&-NgV|wO2k6;neaBqx>$;nLB@-L+TN9g^7*cN=0~LY%hP4Vg1r~=VsmN zgt_pr7}33w3LYQxM0M+A{ba9R&+9VsS!*6MJ}JooAKK%ycW}^99-qTj1KuAX4Y`HZ z@c7gVE+2d&hV%Jc*bB7v`8)xi51*Flt$7@u&%xH`!~U@Q`h1+X`>voc6(v<+0OWuP$j`gv>r=s4 zRAO}km0Y;8N$L~ExsYRZVV4uq2lG5QAW{1oVRewT5%yUs91@c~*=wQR?v97al8Mi` zuTF$Yw`AR?Tof3Y+54ogP+FP?qj>O%1fU=OnO++KRK$+~F4KQhsEMuBRu%*(!cSYz zq7ghylo#|N#olMgLsBzg7S6U7whVh8>X^0p)K}uLkK7iWmmVL=gt zAqPHjm8@kRf>VEash{ng_3{DDoOQH~uY=7raW zO!xz|S7csrOPx8uf9XE4dolVlg z%qRDTP)UEQF&~f+(?JeG3dCg?DXyCPtO-cMrUSEk6kGD~9R(HK zFzm{Nj;I6?h2D}bT7qF2%yat=iJ1WD05M6xY#D!#`y;a1a{F_MEc-&7i|}lcVJG*q zLmCsc@@W#y9fa!=7W{FFNRU7&4L1yt4!32BZ!f$)8DcS zK0uSHvj4ie z3H@8(#e?Q2t>0gd&&d1~&!#Ttla(9@WR-vDQ=}5OD(+2&EH!u;5%OKQLtqEV)oDt$ z{n_0VVV-vUUf~5hs)$d$b7=?A6n@78Lp)`j5&_WGyfke7l(pV6{39`hMKqC11k#B> zN(&bY!`s^uGj!$?j7HveE6Wo4x4l4f{oWO#(HZKF!1M!=5|;(P9H99d&SK3~t_pu# z@8TAe`~{_%x_COSC5vg+at#NN&cEH92oQ9(yz!8U1>S<`uqWBK1nu6G;7T|olOIV0 zKoLmz(PR<^GhC*WyZWdCXtdwt`Wm6%bX-z6MbSbLg9Ud!8_b}8p=A}ZYI>`}!c4J3 zTWtyHa@}$@22pWsgp&OV=`O3HmB@d=)cBg-Wm1t`!+12TUDuVdHrHQcaG2HlN zAA$SEx&j~xm`f*JnWW{{Lx>|wAyaKv`KYw$Rpfv*5oXcQHim{Bv9qFrJAYv@u~ys;~% zZf#NrUAH!$+?Q^+Pxfs%DnuGCM^#1SDqhUhZ@%x^v6i>s9pFFEpt5l+BD-Mo;5$jZ z;YdqENDUWIdct?Jf?#Z-!U}&#B5qOF)j`}7N21P`NMyHN@7DHqd?#Wc`N}toQUH7- z=nLq`6o&k4DZz_uSuAmqXb!`L5N1irfKWoo@Cb)Q5G1S`!p_L;*HJ`S=v_aYqjm_h_e&2tOJWu;QG@68{ZhcfShfkSaMrH<+RI`2=hj+50{% zzbY^}{w4TdK~L88;u4tnTQc3?O!9l=8LhAbtg;VbUB>r_oJ7(mwBllr7etaX0%FLI z2}u8KPH(EHVD{_5=O$DT?U$U(ahvR-_CIWhvs+(*nldm{YgvEo!z#iJ#OM@~9ty&~ z+Z;)K#tXToO5!0gQP-eWtrF{7H|{~g9ufsvDFG5xIqaTMi$#8@;XpuMsjYcgLh0T~ zZpJIfbxG2w54|_)#Zi^)_yy$?zw~_>*}vZ zjK2^m6P8N0R9q{(U@Ir93P7tm{+$b0L{+RfeTjdVP2MRBGCu1BDLK;RfuvzH9mCIe z1p|j@LS>ZURY%R`4DK)KmM57;RtDpoU|DPImHAtH4Qh8J>K`1|>Sx}>ojlkuE41(M zAO>0AQCkeUHWz`esSpneGln-WNPrb4W&pGVk=6LqA@Y&F5EmGo1b+UgpXQ;lCZh1x~B@*5p-yqBJTnfmPDkocc zTH_UVZbRI)YK15U38t--7ztJ-WE+^XgnIq{F}D8&|5TcHfpH4LM9nM~R}ttX0C@Ye zwGtPs%a5{T)QV%4*j=(|JV8*Fs$c;M(Y;22I`c+=PB9^W6l*6zFMOEWUIU%44}o|A{*nLizI$|zje&0 zjWBD{pgqiqMF%)GvlCI1*)I#rwIJxQGQe=2q_B!v3s_2F3NP3+-EkS1Zf=^)m(5dx z^oA#aK&90+T08?%=9vfd(yGvL-A{kD(2AsBWjJkO&Nt^a&2x?Vl_`yg;S$jlpHYN* z7lqI=wE2VO%sLm>Vpj$G`Ik4=s0CDis@#_EmmBYK-}6#k4uswa;J5>>1v}Tko@FBL z8q%)vzvTW%^mar73q)mEj!QP%{$$h0V_)n;SyXZ?(by4n}1zh0_Qmk(n9UI)k zWQejzWME82NienuN5W4Jx%g+ueT~g`Xq}`nIYKRHAKUv2oZ2*XGUrO?0z>dY@6B7hMN{ZZaE*Y(sP_qzwmu zSs+*{0Tf=arD0z5$|9X}*oD67frP4sl2!1Jnebk65>MAZ);K^sY2LsG&awN|b8En@ zVfn|nq081k_DuX^`{aMkdt?aPT#2hHOnkifdLh#sMb4u%jf)>y z$nW0L1$kIGc&M0zZ==&!mxNVTI~7sJ3}?K`JxbT<2k&BIz(m}K1If-t1y6|P{jp$02=B5XqS zr599(E|-68RT3?hkgh;Rt0BUAIhce_3e{oZHmlbHDCpE^NsMSMws3K5)>XQjl@;yM zk=$^>WwF+344@-@Cyf)_6>OcYlh~8Ad{Iv`6tp$Qab|DJV^X(D3-2|bI3>gqY2lp8 zx9!@*kmW2PfU$W*(TU%+s9LM6?JHaFPzVK>h{k_cMCuUNLnUF-U!PV86B-7(gAm-{ zFU!y|U|CiUM&niap;cVX`e;>g0{3>&>WVtCTD$W}4+v=qsVcE3i)7SIF-CFXTkp7y zjbSG<^qcAA znvQ>7HwKYTMSmN`br=1H9le+vd%qz&&bs}VDF;{eO!jqLF1vl}T5G;WKV`|b9fZ$( z!h}!b@q!X}{sJL~;%S5z0XiDXNsxAs+=Bd`U76UE%W)iyO2QE5-i{MWf)Sw(_Yw*m zMB4VANM7set}>$*IoJYxCdffDGGL(=%C&!^g(sD#C%HQJt&ET)l|d8zL;*-~6}N*a zy%=tXvu3w-tT@n<=QD?`R_=DF@1Z4;%A>K{$kY#OEihK}7stO-Su;B5)1M$ma4Zay zf$T_MN8@*s-E6tdO*9U(XX1R|)6)435l;LqI{i!%R!pNVSrvc|Mj_vXJ`C+Gie$E3zud%7c!ovt(DcL{+9TQpXKN!AfrLwd$?Ip3ici7tDf% zo@z7L0}4Z6&Hz#KU_PGMFDYhB_KJVyvj#7uv4K4OltD`@s`ukdGBVIaB1KiqWV{Pg z=xrq1;o*II6=JDmPg0# z5sD^RMcamF!9Kg{mYIq(ll9zMynBv?Tfhe^B<}b_{-{+-*Pm;I@(gru2$O%5jdXPbr9;SuWV>s{Fj;hbp!$g+7#j1f7?EsV#w7%xa{4ABDx zZa4KtKcefG0}V!@aD)mauq318Oo-0S5^IGqkdm#W(TMof9O+jfa?7M=LJ}wzl|h{7 zGFt%8jX4&)R`!u{>bhuJ_050F!Yn?tQyKuSDvAG)gRy@?A{L8ZfD*%%P~RiJLJC+R ziut92LD^<1-XHf_p;Ao&Oa){pHL6yedX1~QBF52ew&CMD2K(Kz={o23o1Re}2Gb=` zf%=_wpO0>DbuOi&%&tJ9l$1#OEq!at*`q2kVUOb3HYzQ}6Wm;7^|gO~x*VH$?3GED z*SIOnp(aW=Et!^VB@o)|lc%el4uMN!nX($h^kR00$}+P3*INkcxa2}m&l`aCp$k)u zZl?BlH6Fg%fj)P8Bs98zy-Wsstv0&U)mogyFY9g0I`7do&9?KZ!9cL{p-3&jYy{gW zzD%=tcur)me%jct!%TlAztUUwocg~t=i8@gd5rf zB*?2JVa2Cs?bBtA`d~x3-&zuGC@o?{OmhYWeC924z`=a;XlQ^|5B8g$>mwTyfnAL` z!W1dfqO1+7+Ms|L@dsQX;{3!skv*I(S&0rbHr2?VLE44=i1U9%E1zB`!D6^mANo{{ zk;055=n;k>`_JfVm%1s1sGXi5_Qu$971R@VtsEcCCpJFHyXKHmX>QqNPhq(+6=Sn_ zp)YQU9AwrxL+>YJAHaJx2FncfTDyJ9N{wS;6v=TDafYBVZWZ`wSF;i*V!r(({(zK- zLM<97v|YQ5dc%J-geT}4Z%vQl2}g@iHLOeQdWY*`9p|6k<)4V45HR2_`GO++DE0H@ z%}%4;+CONvrBkJSxZgS~YdBaicGX+3ML3OJXu)jSx?0j6w)Lz$WzPyVQ`?Yhg_>|1 zRw^=50q@>rGx;e%xB53b|JFF@Qc%h9Zm(7A?KW%sr@MdM!{+|(e(e-a%jQA7SMU8h z&^D|$k3bM%ZVN{z)0nOl)C$hHJ&7apwlfRy5d85^)fUIx7ZHB=Z_c zRHGVGX>@!A&Z#2kM{cW)F2VxZUyxrhhlH+4Si3>kLI|o{uktx%pG@g^=80a2cTH ziH=qam4pK+5mq#6MO zOr|9jqOEF#xK5TXSsfGRiIU5=E z>nlYz5t_Ml^hlK<=e7_`>=(J@blKmeoucVJ36H9H}{p3y#~zzE)};Ir3zc1upiTX zf^WodTgLE|8AFDCRr2Nc+{g^FyU?D{=r#$bjn>aGkebWMr+UcY&%i@dZ^nA5jn z+Gf^q`1g(*Ck;_Bw9>*?Q(!z%;hne9X!>}H&2e|H(L8ZE!DN819tohu{7N7_5qp1E zqX`~H$&PIBsvWlW?#IIlA!2pK61P0;Df2L7EpqS4J(4({Kn%d%wpkhCVDDdyvE+KM z%OkD81u|w%5?Uy*24jvU_zz(Z^(L1e@h3k+@bvU19-N?MtNu4W=h3e8`6v&9#b+7= zrZ0A+E4~5ap~^5+Um3PA^`#c3c3*#j>|BGzIkoA`Cnf%lb@LNoPg+zWcxQ+Uw zi<4K5kcHPp-b-n%z|&{C}?fJS2l7<5Ia)w`ba#-fW|=1gOdwY zGiVrUKH-DYb|od0Cv|YWSvvZCmD4L^I@#%(;@rCZWIi)M2&77r4^A|K^itahDp#e%%*`fyUPhKy?G}hiNf!na&{3meNpj z)}f5jysnsY0Ue_niYTpRy!hKcnLT5_6;39nW1ke~K$4Mzb2vd~vR56c%_onK)S4Pr z#vq!jg3gW9y%BZ5w#F(Dm_kmkWGZ%ZC-)Dlk*1z%$o>=-^KFXuJd}S<8%L%{;zTTy zChCY~K6!k^lIx-4D?zO8X!iTF0&?kOPD4(YK+-w=g5s3G1ma4@Svp~7vUnYK=99-@ z{vI%$wT!K;Lb|9%56UT`uL)J?LXyxJsiXg3Sa#N48GOusx$ZP%%VA74evwIP40C@3 z)9%ODmo7E=q2zL2+{AxZxSkb&SD?gh@zNP};rwkiB2F|sMdTC;=0laDgg&myvBGhg z6jP@M=9A|@OV8@7sd1#Jy+_xC;2doWWN*zo6gs}01ogn`+3Lh~6GYD&cv6#cL(nbE z1oV$V5e&LqD%mTTQwqQJ^TJ)QMWMnA?u)i+^JC|*)$X9e9Eg7||ERcgR$p1?b6h;s z*ZGINc5e7>uU#n($q6=HyWaxl6ey5Q`EuKbFl%d~Q;GCKs>Mkq`f3rnGP5V~OCiAo zA@vHU;$A;q{E#0-1?lh!bIi>jfDj+oKLUdH*&HIC2r&#^po-d!EDE2QMGl{vc(Qq5 z6Skjj659b%w$^_amg<%`PSY@pUYMuiw;gZKOvs#%d1&aSwrqMjZi_&c@MW?=7usqB zD1T>6u|0OWs^1zgaalMou=EGiKUCpP>KNfXV%#@4gUk!_n-i zD0tHGx+T!;KC3E1GJ$@#VPxsNLw!Yv>{Q^Hnx<0?)ggbvKl)&xuT)QGy&dFl;U2Fe zy$A0t$O|Mcqk=06Ebo&^43qBmMyzxrh@n+~j|ek!|L3J~89=swfu*=}`1Ui@`H3WRKu>b8|C|!>mOG|Fmh~Q>G&K5g1b$ zWVojhK7xj+@b6DY5q0hUr*Ce<&+HR5rmk{Es~*R?LA%KIbp`E;Y9PY;NT(9WlG=e zpSFKnC;K}LH)(sHrEeo@F`#zdLjI>5S-pX9cg(|0pyB@}@C+#Qi- zB;iQ79i?hOIfPL;-dyInATn~D7=>x2hSla1CNkQlWGIHitw2~K@Py?-#~auQTziPL z*&P_yP7|JrU^UlEa4H$u)v;P&GM%A>uoHjIeAZtb&gQX{5Oc>~H8`u&Gmg{)qAu5= zH(+l-hyW#o`K5TS1<1_gB5gdc*Fqx$Zqvf5$J!t z*%guDi`HXo-J)Uo^>8a?gHPIS)`@m8uBblW>K$41q$(&%M3R!;s#9Q%DVI{U&hYLJ9v=zX525j)=*YM5uVmE4E)9PW*!pVS zBUm+{(uc*1tpw=7CQbs)oF-7;(f^YOKYf1_p_vf}-Dv8{rOofG`^{%g^h|5mtR`JDe}@>p^eW%j_8~( zI$sK9WQ0r}bdDZ}=$oW^y)H#C+N-b>8hT&-Z11e+EOui);j19O(!{>yc2hx8Ac!d4 zf+)E;wtfLm>aF%ccSpXw_N)u5Da%M0j_jtQ|6;KRq$ty=YApOT8KQr2B87szO_HGj z*u}65W2~s<=G^AVN%Q0|-;BP^=0T&mhicTquvbE7F5<}`3SxAfPS7_SF&6k=1HeO1 zUVI);k;faNE!=g2+&I~im(tZKmGG~GA~zvg_(tHo(Qpm{4$Td%%^2LZcD8@qlhqGO z1j1i``MW)W5Ig(Sxto6ym_UxZW0+4MtDK`TX96_sM>CjHf;mAoqsT6L56f*75>*t2 z2rWT^h$fJX>vNRO46AB3pJL2G8c%1^w3@TH%#I55iZf)Mou}-37|$oM02ZMD66Q!R zw0EsmO1NABZfLg*yCj?uMA3f`?iAl9+TFe0acf7M z<_q7O%V#D2=Nm!Z3NcqW_}d(*iCzdBi?ic%4II{ocEi-WGJS6yIH4wB;C!(l%;tCK zRWQDz_MESR+Z&|o1-D81iBWpOG(%p#$^dxfdsAOf$$kjh-(lu>@(JC&nGm4)t39Xy zHu|q3LAzh5k70knP@l7djX8%ZSqwH$Aqr!H2Q3WI?zsBdC)5!tApVMX2$Y3LvZ8HQ zQ8dCA$X`H1b6k{#yC4|A08eG0#&js6JAb+TtD19uJ;t%)fsZF-8yLGPnaP$YkTc(gQv_dh=8+v znJ$%tvu=O)A~;{B;UpW!Gioq=WaAiL#!8#8fS|3Y1d!j2$I&nb1BNDUPpfc+n3hgb z-766_o%o!=wbL1)av03SzOoF+U9d#tP|{{llM29T)2@x6OUH2U=bqq*CIj@2$VluK z!K_T*C{|&PmeK-D(ck8HE#ga`Oz7K0^m3lemauN!K^p9m)>#WS;WjjMnsW)}fukvp`gjw+d1UqBjlGupoFT6dsTd z40tgU$2IR$;}P+oDumseQ>DAWXxQ3T|7L%$jZAkO^xyBf*$_1%sAW8(a5f0j0TB@h z*nTdv*(j`c(A62L8+qZut%RahDyha#O!8D;u;3keZZfF#Libx-_ z#>aV?CBF&=a~&=91$5>UoYs51kWs;>m6(r4<_y+XSFYRO4k$m!aXXZptDPTzXm>Fc#ep1=LL z^EdV$cmBP{p8t{Yul2~czxCMH|B>O}e&qY#e&qYV|H$|6;NJ5uGYBqczz2omaf)4P z4BR8@c!i4PLJm|4lIe4`s{%Xc#dLoh26M;4)mKHyqoO$B**WQDzU3~L%fb;XY<>w! zm-O9B-kg{SvCg+2{V=(t>?pYW5p@W;S2fL|`7qgqsb`psy*pgKx?Ew!6^dc(w9c-eQQ3bPZt8B( zJ=a&>iEV?jbEvg-Gi#lx=Ze9u?ALvkD+ti6fuu;(h_f3TL}Ka=Lyt~)ao*Y8WN?!r z3Haxw&b{SJAV1wL>4S@_nzD_H5_4j>-d2DJ+rlHQu3`I7GfM$pY(&XWENq7L&#!NS z1HUnG`9+ktU$lC94o)=w#om9G7)$lpI~ivYvrve4$@FkXUYb6;mzlqTZh+F$K?zq&1fI9d#v?(amLoWr2CucP zyxJILU^I-cz9U&qr9$*bfpyOsq(D(@8&cr~ANA6&;L1VF&Y9fAO`m_qja2qN4Thru z-j}%+&WG^sBR`K?Ka63F+y%DmUXAWv1@nv$tKQxPZt=ChCLdr(S$(kDfr5oW^8kKx zGFytT0~k~Np15Z7P`YAf9XMc7x(uE&E}1$-lmit7p1{>Ir-&cxTbJUWRiPh8Ta4TaIQ!L~+cDcxH^Ud=WV)A=+Wz6cqsm^#9qrwx%|2DEwD; zcy6ZH#X#s}XTX8JH0>0^^m#FByu-$L*6T6+m;Ts(=SVV=Rx2&w^(FRGCXOQ?9i7W} zZW5HXngumh4%3&QPqjLQZY-jc7cY)q0c2t}r8QCcEg}>tGSq(|P(_b!Z!Uxb7$$?% zgj~*ir<7r3RVb`rJthU zOyvvTDi+%-@yNTad3dK#TW$maC~zB&VF4`4QP``HAr?rYPFLCzG9rPJ#O2A!;qg~r z{uqcHEQgL}V^n|kRLKG57F^AYx*8OXESRZwa%B0LgACH4mogi0n4u~bZ2a(F%c#?1 z!xx}aSJn7$Xn2kmB9Z~o;R-5j^lK=o0hBRf3`iGIASgX1FeKFTUOaGP%Yz3m@z&{0 zOx}rBXB^s#D8(hzuJ6Syn&?u>QCFh~TNrKFjE8b0P~?A`5FKrj;W(@zTqfGy05$nU z{RmxGpLj4U&@@$Pf?~!XqW_OdQ8$3zIAPzujWsJ>OFlM_38v)$rSgct@4FOnEN^8F zDd*utNGN~sFDdpP^ahud4)6^nWIXeMTdk_V? zw3}ZEJxT=2Mv!NY^P(fFEGYzRim~w#-GeZ~p8!taDjK^=KI%LjmS{xtpI(@n$}j7i z2{V->OLRQEhN2F~BDN#6TE(ek!YB)a{a;YGCBT0Ra2vIf(D%kqXdHv-HI|hf4?IId zLnYkvMP+z47vq6g&o~|@q%eVl-ulz)AN|(5I*?4Llu#9Ogg2C5rp?u~{_yPDQW1sL zZd8Ly&xL#-G!41mwHzW!vgZ0dHU>KMW-v)K{`?fI3E#Ii=i+ItFPdLpC(P& z6=TSRM(~8rWZa}dDj?U3Ds7rdY{>=dl(`Mm6GF45q_|g5nH=9LVLB)q;aedLCWds~ zGJO944ZDHdcbJ@Vo(JRfR)4`P~r+puEW_SphMAs{}$_APbLr}U%FG6VV@|hQa zgxP=;K=DZJy#98x21HwXt|VIQoR0~#HKxQ~6f7xGS}B}~MxJyg6wF&A4tMSW2bh1{ zY`tTYCSA}aTDHwD+qP}nw%vuN)Mc~Fwr$(CZQHlsZ|>Z+?yUKjan87g&J?J4s|Jf3rio z(d$xhneKW5IdV!qg2@-Z&R`h8H=p$r7`%0tg)6|*`wt-f(@i6j55;Xh(Nm-s@YQ{902B)LghtXIjoaJSN15=WCN0&^!QgZx?ho75oq`C zp`*XToGd=C*^Ucm?Q*{T5wl_bj)mUV(K)hS>GX3BO2wmq`41IkC=iE@;FF&dbP@Ud z)V{PGik*q6WNU;F~7O z=B$<|3(zf4a1s9eF=`t|nt@nRpA8Qvn%5B+xL zo4D({fVY}g#?+AH5)qzBI3t|s#(N~{u{@6YmOc^fJH^XdJ1*HI;T8j;tX98pe@bJE z(8^3Tv-JL6WmpL7|E#=zu>x2IoQLPoEWqSybd)+-9eP4Zft9hb(?!@L$D&p->kaxo z4@9xdoF>E6L)NTi7aE7hz&5Xr{13x2TV>iW{P;5=W^Gb@0R4CIR3Ozf1=v_vqHm zH0kp7@g_>pb+|-bE{ScjtvRo3DG6)X%=ki z(^?zcIGwnKh3C@KW>YN7_C1w;2J&7!270``GQc%7OH6KfAOP20+bf-pG5R-3jY}}= zBfo`4QUdfjR&;|M2Y3;;A>3O96EL&OiYRxBAks!(QG(Ot`K3p;%SDuZ;+{HM z7#@**g?c`DZ7CYrGP0Z98(>vX&}7Y`CF3O>@*S-^EL#7jPJx9<7Yj^3(8@5 za;@dWh}Wx98y81xUG<(r(&r%d~e(9 zW;vSfBsV$MJWSkSYZ4`5KSSoN+5nNQ6U=phyW$f@6kJ8^HTi-ak83Y{!ChqlABq2f zQN=td2N;$Sk8RVU)eW_}{PoM^(C5>C#r(_<`}c^@QvmKF8`B@2*y{|&F~ucc48Qme zYnj&pC*+;Z_>$HzlOUN>W~+jV^43igA-?35Gjy7Eeg$2}TXXR3iQ@p4n)MXh-$25a zmKLZHM6x8d*-$jgyJ9r5tD3!{4lAnHKCa3%L;w|3jry0C+h3&a!zN+Nk7-kdKfpjh zKR=gxYt9>zDPPHOd~13rQ&~wSlTgx0wi;{p$))M%e$M`a3BlkXw6yt$e?#9R!^2Pg zvIx=y${5mIP=Uh1fF0w3mC!Ew$N~xDydLOJ=)D^J2F)GpBvz`o@slS`j)tv@{1)G0 z*8m%5$#Op~#S!HhB%7?eA`{20k$!uC)8EzTn@GAEA@nT;0EQjoQ}S%(s>qYsP@9=v zaPS%K-y$`QUmP2$DQDcCsr#kv-`A&`_qJIZm#{f=P{Vo);d zF*r)CXuPYyFzVsadN&VVBSszBrb(WOTiq>YbkH2uYe`pK33jqCXQWkGnhePq`vP4) zhqyb8V~+fbLkD+>6~5_aGf)s>WB^f?sIr>8jYaYUsWLbc9pQd=9QD*{h^V&66aCq7 ze3fi|IoBbNR185)O@jt@in7zDjlK2e@jAMHf7(>CjuFdNj0K4Pj(lc(VbnZ1kH&ep zN*3V5_0>H!;L0Izu`DGVNrTWe)*_tMUS15R1IRkj?rk-L@)%lET?GOn2N1NCXD0`? z3?ElJ*b|OZwNajm#p?IbHZSG!0Rw=TDCAr}b^4ry8}xzqSvV zbq%Rl*CqIuWh$SDB2PIR(ah>z`rsz?cpBW4@W*j``IsaMnCi~|7zKi_L8=n91J9f z1m!0dmMk@8a9aRKLV)I>8`aI(I#ki@ZqVPI&xZ3(o_hf(-Xd)X-L75+s>q*FXatG4 z@;v@_z}K4qf|yH4cAswKR{ApBvYgYJ?WCOZFSaaQiO@9NBFES-LO`c9@=QT#tnmOV z^|NHChZkNXaNQq@a%ys18X936eTxL~^^Z46Y$fkFc(%{MvF8=cf)_qV5 ztHoPe@JYkUYo%B^*|>+vV3Q?-<>FDv1Gimb0zHIJpywwXI|(=;j@Kl?64IEaA~>VK z9BN9QH3sL?$Ov50Qiuw3RD2X?(iWg^!a@C`HRfAj7vEan07nnE<&;Kmflb^S&boZQ zG_yg<__}}CC7+VH$<@CBza(87!HOnS$ysoXgMt1!d#ti6!LI5$5aa^X8s@{~iVc^R z%^r~Nl`ryUJpn+0A}IeMx6>WE_&#KWRK7s9|1FEJ!>#D8G@z#w|19bS`N7~G9w*>E z(5?76&`ZEKU9!36JB)wk!5dxvhsC1&d=uT>6fp(T-`K^Ecu}AqtlAE{%twnAJn;_{ zg3US#rot*h@5c^{qJ*rY?E|MKQOH27^52or)Fq zzz6Y|`y0Srs0d0OhFtu}(^oO*h5i-_*emFcq5BQxNBrZMXr(N6_*#PL`gG<#+6=ki z($e*g?cs9L{Killj%~y`c=&c757zi;_7jz4qg6N|pFVeJ$3F$0y&%z^* z-UHfzC+D+Cnef#)=*$t0OXnO^h=w9m8GYVgWojq!sS!ZzV zGB({{Aq20^W(_f{^9>h8gBZXCg2lg#2t3@9Rc+x$9KJjK!O&Aja zT;RuLgwEpRJZH&|0ksZ8N;25`=OOi7>*mB{na|-_;LR<5YhqX+mzy5VE$Y^m*OVoe z?y43>D0l6zvLn`?Om;2#2oy*nN+keAR98VM7#~K599N`!yY88xwDG{HF(R)pOX z6g5xlvHAx%Y8?I3KZUSTdqk{BJ5Iw-HdbAP$ z$oe8*4^?~o>hycFm%wQ#C5eeE)fVU(j&_LAl;m>AAPyrH z6jOc#H(Fk%<7b3)*AoWJs2HpJS8IZ2YFl&2UCve1?sZj++3F1Gw|ijMG_Z&V@*WJlN=ERljZ zQY=C8>aqAe<#xSBjr39k-;mm%daBw*?IILO5)IM)lyid{wwj$2!v)~3V&P2R?;8oX zs7KlBPGm%TEGv*2yv`!RI){1{;b7cM50Z@QhLr5lzHpHT3}3hfIm_o-YLQ3ETb*np zp(5rK4lb5J)l_NS(*&LBjl1-F+yFEiz2dvq&)?g|Iu&RuL|q6a@z$6f3^w9JviKQ3 za7s&zSf+dZp!4HQr3&Es5L>igqifKygH`T=;E@^#3OA~TO*xHY-r})rxpLr*V=|BO z&rH>QF9R&~S&5OIwPS+b6@k;GQWnnFF`p1MPn&EiF5|Q4RR!EgP^vQ}tR;BP1^u7j zt5E4*Iq6@jLWfcTqnh$M{|vMWlEVqLFnVkZ3Cl64CMJH*`f!{EKmbsYIXHW#$7K%r|%>X9mw{pynloVT_-+#dbYwVsfY@mX9y!nz2OOEII|?jxX8Z~S`i zmNPX4WQ3I?q+GmdRp*9Px99!+wG1H0Uz}Zxsi;~My06m?msjbg?^6)SVnxMj1QG@1 zXP7S;V%Jkqv5n4Z$g?On#(V%4HsZN0m`OKae&SK23rT*;6ygeKK$Xx@w7XW}gztHp zsSU^e=nl1X<+b<{!DbXIeIB!O-Tg!J#$T|Dc+-W){hs?i`ckyE_^BMu-$Q=&@q^nrY15aZA@ojUJUkWnYuMsC5xt#Md^4=-T2`Ba|-L>wETG` z6qo;p1k%9c8ntpQ|I&(9@W;M!vNd!Fu;F@_0#eU|#PMNrOm28E7=LXQLkX9Pd5TCI z-?BUUS<{d_H-}#ezs^qIQ@*c_aM1G_ae*W$V>+=y;F?rKQkp87e|r0gS{eYtCJ$Ug zd{c<|e1Vb2qHP}iRmG}u{h7T}fr?*mTW#A#w&RCnc8G|lmpGQvKpBgSJ*1xo$dYYC zr(78P>bVUK5JOj&mrMd35a`eiwb%y(!85E~bmf}ciARs4^~^JhW_?a_5IC*ae_HbK zGI;irR@SJKiwR_QO@hUri+6+>7@J0&1XuR=Hru0IG)V=TkK z@-y5Jl^Nx>QpNKsIa+tAcWmYZTou9U+;pBA#@9ZLn_0m*P&+qT)X&1F4c~>}{wxZ{ zv2NY04jXZiq|nSVysJS&SnFk=;SC@~sEbCJ=AqtJXjrjsY!!%7DW5hnnsP`H4(;g8 zGzE%dx?(eVCtT%fM*fO-zFZZci^n(HuN7qLXKrcw+;Acj$==5n~TqE)OhQOfzo*?mu z;iXdO`u>?3=3KHF^2&d*uUdbI^_R_Cochay2dW6KaNuy1MsYrOY}KTvz^kb6Jv_1= z`ErvnKFQI$(8q=14Tus2l)u(1EQe`{h@WU2@N!qHXu_He!_i^MTI3%blKofB?rL_j zJtvPwVbhVM_N;lN=vf_+NYjOq{Q3-`Uyn(Npulvg%RUtboj!P>N0qovAkWr3MHJ2q zPn4{=`P!2Ai10BV67n`zC8w`5<|S%z72$QuV5ph@<<$Y!i4+6?kr_x>aF;Q-sjEn> z?(%MDW+o!yEZ5_ho3`2q#kIafV|6o5+0hjBA&P$`WXq70s}tVyHf=AHp?R(c!8_C4 z!5rflBo28W6{(p`X@YZaAt-0^qIM~EIKzF+zb z9P7bZE)8Z8aE}JeEwnMPE038~wNyN5tF>;vu{sRZa&K*q$dHrd_Fha~WsSwbR|knk z1&OUWMc$+LA+BtU`M9@t`bGiaLfZQeI@iT1Ave8`)eCmP)}6VP|Lw`IY2nF|WZ>Fvqn-!M+GaIb&q5XU@{cgUpphNx3+%g ztz(&f5uFfIb=j>)C- zDgr^)tWyL70*mc%wa6`g%Mtk!>gPnw5x#W-S|N|3yiHzLMFGuDP~`%efN z-^t5%_3;yCfv(pJFnsp zD({6AS$vw}v1mhS(dupiF1p+O&oLqqQ=J!XV{E+1N9y6s*J+$U3r6D}JfdGSFpYq{ zsi!0o+@h){m$q+#=qClu54PhKdpr`d!MeXTd_32RZCQ9B5atDzXHywA$A(>fGrXq8 zrt5gY>SbSx-F$ZrI$&=p#LP6@~rB;B%9<&GFE1TWj7h$!(7{BF6c1LF!C;lvBui@#M zFJ#IsgZSDH=aO3H1^Fp1dSaK^^5dPC%M)3$o{%wb$8Cmi0+W!e!x~XUV<`b;Bshh) zCHBkPOW;;N?Q&^Q@VkH8Eu#oV&=|fo>97x`VQ4eFwU*=4l>qj zoNRNL5OO>f{6r^6y)YwZKZ)7%-kM@tR7HyM-;*Shf)uOj5F*vf*4k zOpxrX^KARy8hn1k-JWLPjEFc;>O68ImJ5cY7-@3eLk?lOGJ>b9682K4x`V;jerGTs zu^Fb-nOP#-&qt6b9_<20u|hx5Ga@#6y}Y~_2oD0Ye-T$gl|9_5-8lh5ACfqUO_={R zs~B=W6MMctIeOD(fvgnJhG=aR?H7<4Un8_`qLSu{1aVe5{+g%}@Y_~Kl6nxo7u)U0 zaeC*gKYER=`dh{nhhCAIMy>bEkqwrk{KEJRC#K3?!8q4E#8okZF{T0F_cUy>Cm8w04^hCDY zH*H`AP`hhqXCAHYj<`B2!m;1@I|=QXst_$|4f_yfF9g06h%E!ljCCK*=1eWeNj?c? zZY@;G*hM!<-QOCKgY^zGXqE^si45plHoi%ZCYqe@kEB`7uEzmZrn5Ieb?qo36V?K& zpM*N$xaB#p1-QlmYCk*vaMraE#@i2n;MBvRir+cp@AR~5Md!u#eAkxGD)qWJlgq!A zvE81ZGa^D^=<`5e?NOVApQD4-i;Tjg+~1+ccPu=an&5uV1`5bH)8^HX&9La^f&I3F z;ae#%`!jf`h2jFBw}Wnk$p`5oq$W(`%%PvHC8{D3#?nvtg{{8{UFLPvDgSqhI=n1y zd80!OgWnZUUP`?EviG5$L^Rank#zRYkataE$H1qF&du=WIhNZI#9o*}Frk<>61{Nv z=AU8?CzoNA_%i!|DE+Xsncofc0CV%q{k!>jKxLNAyC@4_H?#z%_-w!BclDFCrFeOz z=D)!rDS|VHXug^|Kz@4qR=`~E$j_WPqsMH?|4qEoK+II?fUU8XU=i6}A2!EZrP`7! zM*KTaKMpMzEGmb?oFNtG%5F3XOyK#iY_f92@@9y0h$CambPZct5n2^2>YzHy>R-5WPo z&&$X%S8ZMT)S3YfZm~Ik9u^c-YkLzIzA^{`M+d97OBD3!{_J0ftWchOuA|k4iGfR* zT3*sbXL?bT7jRC*S{pK(wRpa9I}a-Ysug$U4*^&f0!_9PJkjqDaDQs-&YJA1+!bta z{p}BW0R~C^WS9Fa69k$(@1A>B1P+Oi7P!QmdNhr{+so}p2&<^1N6kkv>Dpn0eev&p z>mYVCyFYyf7{5x@r8nlzhK3-=pQ`Gq8EADB>FxgX8)!G@cFU=^-1qN;CcB3~AR+;O z%>Z^n9)e&;2AbiGmRI00Xn@I=tV*-J0CbM)FnI&5|=58%F=8 z^6!(@LT@7Rt86Yil6aQEc&v>#Y>69E956rV0gIJ=uquI^C|?}s6sViDzxF}Zy<(@v z{g_u<$mm2?6~!)(#D*$P2}zy{=-2yH=K%17 z08va_+mVMh@I{!+0-4JscSg@4CAY6Fr4{jwWD=iW9&!}#T5kOezUHVs6xWE^A|ilA zmcG(>3X!2=SJRQzW46tBHNV9Urr6yVs!8#V%ay}aAIQL|Q4DUDEKYs}W8Ab;_!PG0 z{xDM)*8aQ{R;_|?DEIE9`&sIcbbu4Bn>zNvE;er0U;8vV*Mcvlif{-W%as8BUxd zO_`M*n8gIH2~WYrRxV@VrI2&74kk~E6)QGZ?KLtWG-)-zu{y3FuQE7rdJZ5egY|@K zNJLsP6NYzsm34n zl7p_+O6gsuwBs2^YcvK-q@nWkw0~_gFfo(GTF-4!pD>XzoFL3!$3`ny?ZA&qomXwz zHO~A_s2Y{{B_Z(D*cdV|38T_jumGW>jxeT6a3z!SaPB6qsO4%rM*_#;gvJ+$~Ld`Fmcs-z*=F#Mc7Ky(mDH_=U6W0h_;wVp`h z13;&7egl00cN<`Dj~Xp;*M>9kCkTp=W+l|{Ag>fi6+meXAkKf4=GmdGey1YbtYNU~sRp(*9ZZFHkwzPf}AJlX1tWZ_3QF$p)m**u`(5cm>;nqueEe zg4buNAmhWM#y~?Un?Z9ec(ofnJmBVTj7z2AsY?G{ox7?QJ7 zs0^mpgk>H{NdWjpzv~()JEF*zN6JKF8UyyBM?b-dggWY~oMT5b)fx;6?uq+dSN}NU zPaC>}=oVqA6&LUa zEI@q}W8FN~m^L}C4g+6#B~R5dXhD^pdAV!&2zfa$$qBG8T~uiL^Zo!Z`xT%ZIdYef zl)T}j(O&$>qlH@t7f6c|LmtZ1??_rth9V(ex}uE1L@4wqi~Hs-l-boRK{Vw!80<|( z#FK^+9(-{ip~{6~MZ*uqB^ zI`Y~v_YC+UKb1Q(GBPMBnBnyPHPcw!s&4gKQn7IN^s4y+V64?$N$=#b2BQE9?=QQZ zV0r|SIM~U3s29+_(@6-84}GXFqpSU4&wLhL&YXn|5fnfUa&%kS)gZZRF)v&6$MTqy z647X{FupuB5cUXcz zcnHw&D{(?z$pqGYePHfqgwJe)n52*}+#ppFa*fGZ9Qn(FfPIuUl-WS71@Nw0um!}n zbHa9G_N)>u>y@x8L>w$At7x8P^_$wt&N~U%^>gLs8(qdt)rleo8k%u zWbbdAp+$Trq^gh>$<-st(eV8Iz|d0d0>y2=O|oRO%`C6&m?a)8E|KsKVd>nurG(W3Pby_v3R{@k~3b zM6Gnqz~orWQ+N$omI)6uR&!3wX^5bLJ_T)R@3AZ5+5u3BnCE>Lk#}Q&Hfr{IpIK-8 zJ{~P{%SWhCW{Te0@|(c>>8oxu9mBT~!vE5c{GY70h=45x|Ho=W2p}Nj|HW#6DTA54 zsr^4?q&&TKEI@Yrym#Vo-9eG!4zYbH60bKJ3i%AgTmXm7!YLgvYtG<k5D?U`2NhAwB-)mP4*Q$lZ z|f&+(v)Re$n<3rCFG!G+w}U8?%hJVCT8IeAx-z3`DqFlEA2I z_;EjAh4@w0tn4`Oj26IiWf|civV`1#vBOVBY*k5V?SbpxKer|1xobq;ndv~DRXz*u zFEQIIFVu1G61Hdb*VX2JU1jWXRp2$Iw%dCTEC^!RF38^+Ix(EveeCGvECKRBG!yq0 zYTrTsZ|RWTrugeW;+R7u0#{=LlKy`rDIEMClC1t;NMbr}Z-(VX+ddZ*`;R1CEImJT z7nUQ@=()}b@~9|IL%B%S8HpaU z8ofAU$rr@KAuFZ8Nmar8>#wx%bm~I$*9qZSl>_bSB@IfQefQpgX+ty1NC_vf7)Of) zrN<1@t&_f-n>*DXvf?o^mQd8YFrfi&(ocLA%J_eDduUtCB*&E5r+N7(2@pqgZhXbL zlInt|HAo{+Oxbi#_OPDvgJPuKN{z6VCmyRWsron7$3|_vpmpd#s+H*)Svs|;e^7ap zj&t6Ngoh#~Pi_ThQ9NL1E-=nYB-Pr|ok@`B$;Z{cOJHxpo#Fk+3q6{;AJIefV&^Yi zO4_ps11&HH*sy4G$Ea=luJlvfShIgt;?`ubBS?1s9kDC+R!4-(|DeKqfNi(1 z47HeIR+KL-wn1bFK#rp5Iy7{?wEx=i{l^h1$iFFZNFBhh4Y74C%niT4=g?EBK*5nx zDK0>fTHGmtPl+4E4_R?qWYBG&A|WxNznX%Daw?=#mv>!%HHCCGE}DahV#ZKvaf>Lx6shZ zX!^$=GcTL!vEoZo^eaCgQDGDtsX%by;;b5lAVNAB{>}Bn@Lks8lADFC(-#5XwaOa) zmM_00I|qwT{0zOO4Y3woI|2ubo^R!vtxIbG0PBWX!*%o3)ul~G&e!AK4B$85@6Co$ z?#i=9uyIF@14c_jiP7qmgZ*=4iTlFkj$4PjOmArQ4lC%_6@df0+|S0t_3t@5_o>=; zgDQ=I72LkRX<4(bEe$7rJOX*G+m#r;EjPbHoF+QGJvHgp7iPR9ek7)|+>h<=I;~m; z0C$5K-Fm3-Tkb!N>sK?Y>^}_b#&>5?hYvSQcU}$rJs!K4FWbCz-ly+JE%<=oPN#wD z8;mPI1(@|p3U`hv>koK%4FSS;^7DTNc^PMy8OZ2%Czr2}!f$?G1AhO^@FEE`UVIl6 ztjR&K%2sKQ1qAFny4wsAmGE2BeF-Dq0SYU2)d&xI8w`?CrZG`d_ToTH3w)dKQ?n?Z zJvJ*B1-|slb|Rw)4HK7LSA02rcb22%&`D+oV<3lP79Fcif zH||q`wMF(kk6Z7b+w~t39HjO0*}*??+*|&7fUSZ8n_fIcvflI*W?@&q8sKS^LYA4`bOj&s?= z@DgT@P6-neX=q_7q-z5{KCc|%fa6bk6ZUgeg?p?#1|(($lX23r79?D?KS)NAiAcm+ zMwmpqm z%$Bllaok4bLGDZyo-1*QDl@H*Jg*WcnpdD=?7^W zV*o(hKMfYhI}=n-3L8Ve^Q09K$q<^V7HXj&;~rWRWZ>ZO-LE{e5N4!)Yv8I>!jJ>L zgnfkVf>sViSmC=~#wBT7KuDRox)~)$URvs3_NgptNmyYUHKxJ(ekOr!YRH2asAU{1 zMA3P1!5`$YbQn5O(_%jU5-1g>k|9$)fDAVq`swfpDPfNh4Hi`U_)VD<{l!wJ( zp9(5R@l@=@`j|wy%$ZXHP+>NjoD;lH1rArKjcl{iKnm(%ln-H%vS5xWH2=8;P>zGp z8%Bm0RVdF5!)9U}0q}VxT#iu|GmisJrmWg!9aWbDFJZKy^hm*NbW|P@J!6^adrxcF zPB^J;NMu5ui>s;-T%?^!`aJ~$G)^KewIxtXg&{fG<%M-~xv9bn>Zf%@W!;p9`thVR z&{+%D88IBIZT~KED#ytUf%x`8X&r!vJ#$82kK-{ndXl*D16DF>ZomsDy#o0y8kJX1 zqKYaZat^RP6a|J=V!+Jo0%b8d;n`KODrA#jbZVreIW4=u^6*gBVx+{KPGQMeBEJ?* zsY_}%%L{EFvQ?6gXRE2Y=>J+zX!WMylhrB-$!jz@LP@2ecm8l%QxS{##4z2142CP#Zg$?oS!Wmv z47eQ}(F2q5;Oz*o-$mG1WW4>^oX5aV4xG=^hFCdm{@Y(mrmhbX<Wv$tP0T6c z6oq0SnQ_35B^f{>GuQR4;9}NDbXoYM><3WwBXX(QEbt(9Q$ zS>Y+~CEiXPnMc1@DPw{l(*GOWfvk_Rq{y9(;qN-iq_ufz`3blc|QSE&R^jJ1Ked1>fryG>WaH5!?phjS7wyhjMIoMCC*z3L9>b%`HTgILgItqK+Ga ztYS&A%nchgI)n{*=eb>mGs%a#qSJJS@U%f81sKl|Lp@0oF2#7k)A1us!B`V{j(buM zil4|}Q3;z%8DpcJ=N`DgsD-{}N>4-CB_+&7bRZ|aV(Ll-TQj)U6W@iiKpL~`Ws4*w z*$I{x6ygz#`x8REL0Q;DWwzc0{Wd9LTbX6Ok?|$S(2?$H|VN z0vdzdqLA>0N=KnJm&crA93D;2=mXS~DVtmvQKjWW{Ex)-@O(JP-^U9KgXJE@fIQ$6 zHDpEXN_xF|oniNd)#8YcjAyq|%!FS7-cr(&yB$nQ`k@`jrtQtfQb~f9AgjEOl;*(fJ`Lwz5_zGH9^dTZ}kbo2Dp@=h4;UO!sp*X z%3|90e`$;@?gy^Z|DmW{P(Hl?2y_O?97*+c)G5XUlZfTn;%~SeB}ko?ESgrJj7O*2 z&eMCUn_#J*@Ge-DIh(u=%nmC&WZY#lBwoTDP16P;TH6c@i`O!?qh z;EqHTmq;%tX=GSgQ?O-x_$}%B;y`YnX7rl-?fhV9Y_NQG7X|b@dyj5Cd|`X~@&P|* zn0HmP2Dm}sP5ovusD9?bspZbs16aS0V0M;p{BD(I(FFX2*kt~)`RIAxda&odn&s%o zX_3+9Cy+2eR(Mt4*gLQWxajW)?CfND*jZU#@ytHBzs<~ih20*D@rJ$$HpE;}=EP1t z-|-GC*w+BMa1Dy6%H04Q(<03|>02=nQ>V!v5`H{7HNSEo_@{;8A04Dz;ztBtV)wyN zC9uA5jYb5fj1Qx>`T3$4WMdzf&-TpZvR4i#aOA$^Zpu>GeDxOq3^vD|;x1{d&Cki= z_m+p(9O-x50x=heTfevP*N=9lBU>5>Qpkz$P7Wn&4n((qcsV_A8gw`eI!^YM;6u|K%NHxfd3i(_&*DGe`B!iUT7eo6fYnkl>gn1vUjsHHgo>>UHo6+eyO)% zzafs~%P{+IqWh5v!y%uNM4C`XeUBJEf?O=eD!e)DmN+g6?S)BTLE+Tm2$Oq)?5xPW zty3bypCLuenSmv9t1U|l(B=2B<|Zyd17)|P6Lmym#arJQ-P6=nGW1##d!aGxi6&m$ z!iK-ofN@|!gV*Ex@Ssqmu(I9fTMOdp2QsMD z^)+=;{&GUmM40S*>Cfr{5EWQC2=3Eo&Bsd&&biH>$a`xQ3{OZ_-y^68cm-msBz|GTThb&L1R8Bw#F``)juoxF2uh#BNSKeI=ei9tVN4aF4A+Ngckz zUt%1KV1XZ#{{}cpv^l(N3~5FX+zETbzQh!RYNTAWl}k4O?!egG5#>#L8e&o&?z|j| zW-hY{K)gSA+D~%5U)M&rc+ODUc~^>_WCuJlN!pDDAaRhtqn{CMnG?vT$fObuxO(+5 zMXg-0TY{qPugozC5MoTkv|6I$fw?Lg$W zMVobnj+)l1VK(u@GFHA!)=b4DN7l``<@fR^dd>A)G1o!pjBJM$pSs;#=y#NM&* zAJ_RoT2*KK?T`ia#@o>zp!a&IJmm{i^IN$KssquIT4QIhCBu=s=eTZq%%GwL(O*o# z%GR|ApfYzQ9;DD$DEUZfV-xMbVPxg;(Hmu4ocq!1I=rNOcST;wYp|`!KU3Yj1KI1% zkE(6-0<3pHUGwUWDu1%#ljsXHso3u=cuaYRUM%V(G7Z*TD`_FDbgbe_KU1e~eJQ(% zC1cgS%yI=GVz8Y?IHhyQ#p)%tbw$(Y;8^Ah_;V=Z+aOS9HuD@b=)&Ex?w5)*W<&VK z{t6Vybkuc%6%6<9A#ys={!U;D@%2sHwN4ce0kRHf4$aK9Y{tS8kPo+lX+ob_5dC;DEy7{2qe= zY-=f*f@3G*3|31;jLRysOC{w|?lcmeDeSQEv5Qe(;DbLe(C3U3O66CIak)?)d_~Bi z;BV`3kcMaI&1yb2)?ck2DjsVBggL6@l+)CP$-^Vep2=OgBZ<3bl^|5e@(N7CyP&qB z#1J)3$0u>0ar5)NzM!*|a!Aqo4qhbzwh5)ZS`NY-7D|Jd+~ekyNZf?cVRFe3)vD5c z747X1Pd+0mw@#cDgg?Fo^r_{vENVRI({WcZd*FKlggH!?gff4Uj(d3IcwFO|E_k2x zC?Ld(Mg*I!nb39EvzVLmKeEg%cUh7_P@;+C(>v*9yu&)(2j{cr51&}z-LxVARXo5& zjWw=bKeXuV1myDioz+Rk7wUpkS2PUUJxRu}I-)7hNdz}JMXUXoaYg&2{S%mea)_WJ zPGgI$(^8`4xvEwwdpn?O=OJ#YOZLr*Rd$ntpV{o1^4j4}?5d63SK;X)^=gsCcW_Ei`3wwTHIO zU&6+?lxwwG5=hlVMgU|PCT^f14UnaVpBDjzlif6v5r@dxtJK1zZd&K=FgtJLsjVKe zgC{tSRY5?}6Sa^f1pnKPgG|*W*KVz5Y!UUU9kYY!-A&Mem({&@*CA&74=(W^oRyxW z1)n?cAAA?&9~`FbKRA8iVZR0bYQ2yaXL~;OJwRKUHmR5k%EV4`*gDNW=Zz~&US6%- z6%0x1PB|fvQ_oN=e(fFFxrLi+V!)z z>}d+QBEsS-E|4uV_YWSg_>+TeQ~dOW)^MkybN5_C!M%jCN@5(1qDa@5@uZoe9l8ca z2Y?!_@_ITck69-Hirc=l|Tpw+BxT4Of!72Amz=5~E>D zr)=znj%ws-{BI1aSnc)T@}K<;Oj=#KD2}A#f`ZtC!9&G#fV(9#RkoSA;rZyX(Eund zpwXlmci+7>Z#N-)N>1<#^mH8cGYQBZc@L} z%(KT@d`bBexyC?SH+}GVr!2Pm2b@(s-Jk~TZMu@V&~a=y<2(?ut}O3)!2-l&mVTSZ zJm+HKS@q)B@a!s6xi+oxE7o^c_GD8z!N*kGC*VG zzhm75oQ2hXbQ$CH`2(QO{{omm5F3JV3$>dQX;`GWHi@MPE<$7rJ^{RvQoyBp+t&l`Fr9bJX>KfWdH*F8+%OKeS>GH&R} z#pd5hT(eEVbLhp1e*c@>zdM9Hgy5mKw@VbeFyc=tkX;IVvP#l=y{qH47OXaP_Jt7PYej6l9AD9tAZs6I^ z-p^7o9nJhV`71&uZ81F|_a);4dZT#_L}67DWH{?i@0|E%QWyPi zT5pLgHVf_NxlC@ih;Mr|kHk#+qxrV{;fAfETONtycvC7QAq%A!o6O%A#V@a%+gjeS zZrCp|-&?=0G~~Hc`bl~0>g=lmV#TQ?BdIy=#2A-KP`%kr1ASLKh2b7~avH7F)aI3v*El&M@`ng)a$P z%y>%PR3q0OvGkEn-qHnm8M{5|WR9b!TxsMYx#Xj_#TG=n+dL#2YTSm!5l=$Yf0bgA zEGRlpUtuH~$n6v~yd;uLkI&jS8t|_+K@aobkL4e_?l6__iU-Q)1Z5EW838ZgSPWs$ zOvIsJLDp3Fi!M%ky?6%HEGDb(ilZ@lr$0z6S?@Vt z*=3#hmdO66uv?=uctc4y?1(|nthbLZJ9B>BqpAf7u@e*E7A}ZF#!%ugBHQE+c)MFQit38V)P2j%OMBW9t0y8W7jB7pIfA+L!=H zbrP&5X5xVFuX&hhX-7JSWu6ufaO!|?B(J-RL zI4;0c!uQ;cx@jD3A&ej>yv?GRsaE>_1h3o1E=$cJAILBP8IJ4|JelP>RkrgoKPh$V zHS+SH&)T)Qpl4k+rsC(eO9?;O@!n6xZ>HvL&I?x^QixtShN0J1Doc7@>B>w*;}$&E za>nr8{Dew1YR(vN=!YwbZD~VP>&$+Q)w%H{m~5XIu}?e@Du zZxp&wC8=SVO^h2*-8L*Jkt3UTzb3svYZdUvu0z#7hj-`{mxP=(E(qXH8owLtTREG1 ze<*=fp#M#}ci1T~5_^Ix@gik=Jb0g-YNg%08uRqo?UI^Y1cMm+dBER=GI_u-W-{nfV zleiQ0G86m4rr;L%7aO>8>C_GsKiA6*7ft=XAS~h-pOVB%v(or;E`l*JRkvb^*3W~} zkjf*K#lx;FDq8N5TIqzOx=U5saJT*g$n2Kh0HRl45>na<(cvwx!>DHY?z8(=RRd8! zgwYZZ9$}9>lwbsfW5<|Xi4&>o({G*fzq5`!;0~@;S#cj2!A?)Hj_mn`$82k^G)S8j z!p(meKq)b3m;;ILd2*ESb}!<`{lSbp$Q%Agw_u@G)&m3N_EwY<9-P_CZMGx`Tb+sp zN`zLMZGc(X{RwC4Y+d`JrT*YWzIMAoXX+QW&7sC}JVmogeY4Je5`oQxR^vo)8jL#W z1#F4!_y&-g+d(;wZCo>9WoeV7%LgSu>=l&`9fvW3n35Vm@%(~n_2$BiJExV%%|XXo zD97JllhRD6R$7q30H~q?HDUOE)AjzM>p4f*Yn?q#wXnKiq8m)3N4#Ez*4I7kcdP1DFAKx16iE zu|q?89C?cY?=NKkYPKj){jZ+zv+jDwBTfLj{&X5}Q6C_;P$tHdXK}{5Z|}s$>}(h< zp7FcAxAPMlK#_GG_`wgohA{ep6UHIAfURo-i}^Z-f$J3zN%zUUBxvqu+5ECy5 zrl;7xQp79oEzEgwk0-*9O~UxVDBfC$@zgNH2E|YHqj~NN48Lq{5_2}hZ8WLB_jRPn zhCGBkNTHh*6_-VoVXyx_Pb`cj<>mnU!#h+p3r8muqQV7C35g42e6As48-)02@@LfS z+MUnZ*g<xpVbJU;Xws+ktyzU`~y-??kb&vve-@*}T1 zapG2`S%dZP+#=?a*o4c**Q2#yL={wUJ!7haaCmQxs>IWLu|O^?Il1P$?=7^@9_Awp zMvY)H>C2+p_akTe>RR%g##K_%xo3t(2-BUfrRDK_!#S66__i{ZZP~o8UyHJRlY{nc zgu5r=m?L^S)Z)VE#jOTWSNHEM`6^r_^-+A&Z`bvgw~U+*bm^1R*D$eH@DnJ~;V5=~ zp>WoYCsQm~Uh@r&kBacTXKtt#srbs!+Aw^tpJvJhKKa%p@htf+m65St_f{$m5@7*Q zs}4)KnW@n2FLSPwU)ZK5Opr#XvQ$OB?@dZKW2F7?j9+PZ=1trJ@*U&ICq3SjjyU&q;9N(nX~D(|%ccznW^C`u z;?EqPVj6|`XGi1A6=TTYV&uuGT0OMAns!|<64Iewp%_fAUs0p>*7{W(3C{5)etDGq zd`0#2kUu?agsU%H>$E1YgT97SAG}|_r0dcj?O!pfxliefi!nB+eYB$!JjzAgYfj~MFo6ghF z(MUDh_&Jv+vnksrkMe46v}1lE0*`Y?XS!7?idTg3>)fLOs+eETW(bZ^M!&n?3{X9C8M@gV%YJYN0NSK6d@ z5279pF-ur|m$RnXrp+Z7K-l*ZIzjz&EUIgzD=aGH=QiDwd6yys3fPt&4)VL3k-eFW zOL1O1(q|{M4VJhOgCltvGRf3GLh}z99VUa;)$|FwV}wQ|ITxE!Ps&57v{nWLFKHP~ z+N*5TmzWaM`jzz-V&8GTS=;6b3KPV1z?1(<>R>;-bV$aL?o;@t5YfZwvZ-WL!4c!w z&tvHT6}+N0v+5uj6r(H{;Pg0G4jE9do@=xc%Lb#xi1uUGF~1sJWM0ELNz`*<*QPCe9v%LM)*- z(fCoPNEwvy0a9The(*R^(19Ce)PU+RW)I!T08wGDQ@$y%FL;Q^KGxTcL?_;42ECxJSOd-njcv+++k)}nT>;< zx$$d=15X#O>$20)rm$a7y7F!^%oVFdqkM6R@b-7pO_`EzR>mm;$pwbB@SMiNsI;xb zyN;YC%v<}9n00pCdL=8G+>E1mG*koYxDU)uDSL*nh#m+rd@AG+Sk|-tPS{cdn!DK8 zSyv6^uo?Z%eJ4(jNW$}rix!#88ny_v%FMMMV(N?6bjjTfi*|AHk>i(>^pnxAX7f5| z8#_4``eP`rtwFve6>XLxWq0i>zO?F>VQhA}Y?yt+BxK=Jt5~-bqRX*(&5}(+;K{$d zVSr-UyV2y{;>?x))n(eeXtNbB9EyZUI}s=lA%Kx z@NM`w95_+8nz9orc)29{qGA8}aZL9A19tH+#c~=nx4|qRL0x^d#S_*#gBRSUZFJ zLLZhLXGlD|LbO&omN3m5oQ=NjFw=i?h-pl!gf*B#gLnA)CH(UZvtw!Wd8ekID^qF> zwMY>J^M-D1UBSG{ygR&lHg}|MGvN8uVdO*eAptYu*W?1=Uo+<{dt0_LBP2D)l3ol6 zhN!W*x2{8xVAHIOv1j;9VP}HOErj*mzp755Q3R#(28cUnHITEF+nHWpBl#>%QW&_$ zW(e6s<5BRc)6RRCS&Om>=6&@VHo8f~&9_A`*cU)27|8n+>R!wQiBVOK^5?pr0y3xY`Cn=}_Fix~Dw^|0sc66;$QV*uKDA{HBXI_? z`XgsFF``QQVf_@ByfTVuS!k7bvTpkw7i;T&pS*;9b%y#?S3CLkVHq{m9`7$h$K6%_ zmNRcWmY;j2dzJ1|qeD*yDQjfB?>2DJu#`(F3f zV_SbExyLqps;aZRe3dD5ny6k=pl894NYwpH#%ERrKk>dedMgRfwIGi-9d-(!2kb_9 zj$f9}byle)81U!9JM_BSMT97$ikk7lWS^4e9&VDQNEYX4wrCNwRvYQK8f#t}x<(2f zX|4Df?YOuvlp37*4>lA{oYAOh%)MgaS~sU94hGM#Gj@)~^c9+JHIs7Z?1tMLJknl6 zStQ3W<-JlwB_I9xPM|gb_ir%KrlEToD*(ST=~rIF-WGxNT$9TuB#D1Wqc>K+#6UK! zfzR;f->dMc0qD$Lr0E9u9%7b@BIGpXoF2LxCd4Af&y}7NG_FkbNPDVB=(C-JTs=cq z+paP#4?*x7dB68h*_NlD2xSemv?9C`^eTV$;FnpkZJJWJbRWsS-zM-gdbHcaRvG(& zaIkiT_tg^R6&;(JuQ68h z`d-#)aB+nYJBM6!r#!w@?L@>@M}M%toT)9OpV9E~R{}_)vba-BE_M zic@r;6Blv|KRuv}_v0-YX4yA{G#q!fIrPUQJyk9?Ze)Zgy{*B5mSXy*By0&!G9{S$ zco<0HI6LCKyOa&em{vlZ#R|uyny90$7sI<5$DMt;?xXSg7|Yp`nF6GJnDqO*C_86W z($EdGI(#9rFPRZUbiun6%<cEu%BrheBrS~Fh;ZK`m5&byNgrs0NXYv}#I=aVj_KR`aLhTfwPhA- zPFK6w6nv*CivDtFY;`HNnlxrzmRR6o99S$bAg=%=2$N0MlgXqTCcc%3ZddA}xTBts zvWI$gu)37bE~+jbPQo?H+H2-p443)AFrBC|{8I|SD~`cV;8efFcdPLg?}xODmdY^N zT1&}EjjxrtU3`zBG}H~ZZq=5dRtV5ix5%NHB02G-(OHUHi_;&CrbS$0+}lG=b>nm% zXIYIlk6E0tLLW6@5j);_!CgBX4(u$PS@3N%k|`aDAnn>4(Bq`1t@Pv$#e2nes`b6gFby&E&;3NDNvFs1N5ca@l2)OQ# zJ5!=*xRyf)akGhZNZe?UHdEW^_Ed%2xTyu`SIGoCA*@T8@$WsCmc20j?y+_uVN1b4 z`TZ^Z8ruPMIBKO4xn&T057u#$$SB&gBSam`7rE@|^J^^mMkB6Od1V@tCjSj=g?4^i z@@GiYA)egc=H%ta)=2h3idUY3RE-krlR?~YNQ2!TID`21KPfPV#LcrVz=`f^`1At| z1tClnySOO27a?uZUwz(Mxw(awW2G>xNL1#cDHA_Enr2~$xH#NauBlU@#r4BGoi`a0 zw&kAVcdrI*a=p68t&LHx7}Wv6vk0J-8xy{&e~Pqn=agjn*n1EpR>EV zdu5{h$;y;*&XfI19%fdi=#QF|z92Rn;k$)rY|K-)@2c_Sz^_RKXQM>bU1)EKOk*bm z_a3RK3BqX>zCU++g)%A#dMV>%BOz;C6j{|(_PA15_5$hUB9sqD>xbQ|!b<*jw7Xb- ziH*Fu0aHRo_nd;~Qm7$1Ac~Zi5IUNX@R`_sV3d!&je$W6+<1QyK!b+BXm(fOIeD3Y zJ_Y<2x#N%CKII6)MEh?_(;xlg4@f=`1j_PnddMFOqO$)`pa8B2(hmf=%ld~A@HZmm zkF8Y2Kj>1(W)OrINljE8f)_m z11XCIVL=*ULZ~qhXptZ+plbAQJ%BF%XI&P--#Rv=15gcQ40T$8>0<@9{8$u0oLO#F-e7rQd|fHGHeA*1S~N9Nv%JS6*v$s z+TU}j6+o;FIJ@&l4dgzrBQJ0uPcgxpNJ(4>GwuIOMF1MzZ=lkjw6Tfozy&VDe?LF| zSlDhOL3ltYE%AV8tL`FS<3Z?<;UEZ+%>N8Uz~%p&xAZ5WuK&>yb7xn}-&iO$MF{Y4 S!oXk#KB)j|DhdPvO8*awA3T-- delta 56105 zcmV)0K+eCpmK3v-6cbQO0|XQR1^@^E001EXkO1J5;jt4Z0)JM^Zrd;rycg&{2)q^& zogRXsu$)7h9-9Dl(Ozk4WfS2Gf@vEsU~OVl+J-fJZVl5qZDn*-9)wXKrSIJq@MRV6qdoP-$ZXhv59^rr;P7xQn> zimk@t=83fR?eKPeK&nhSM0!sZr)0}*L}&gO%@u;V3xCeXi^<{#(1Zs86~qzM0dzc& zo$1xHITclQ{frys#~8-Vc<$$^?sTROo{Yo1!_xuT2xa#jdQpenniT{}!(@Ms!Zko= zMMT``bHuyH&C-Ky&NN?pa$A+Fadv9%Wm5+5WE-YKN!51G^IR?$hkBH(xR8vL3=9{ijtT}Bq36< z{r9D9$Z^tele!CC3~&Xl#`~Dr<;CT)Z6*)0bIRz9a5^Ousf8(&t}=2n|NP;MOq|bk zkvB%mjI5+1m$UcpF22hq_u(a1E9)k~i*^~Qy|*73bE1}Q?x=sUQims{>Dt_fV^=Yo zi~GEiESXMEShw$k%%1q1To)PXu8Z*f`O1bbzwXHFX` z?<(ncZf(7CN;qmerG@lHQ(5%P_KnLs_LYB`vxU3!wDxT?y!*`*0oK*h%TDJFVK3w7 zDdrzgZ3x}~AR~XREL6@mMoz7+q99PC7zc3zfk;k9io_9w0yQ1ZubR*hyJFg&X{h;F zCK5hQ5YE9E9ASbejR`IA7=-PaLs2-z{JzwM4hZ(rFjyc6Ji)~9zr?cqE$UoXvN09) zOFsnjjTD0#&UkkpLp7BgW5zQMf~OFQ6t%tMSuNy4$W?z36MDNA6ys9Fc#0n{q%)|FlJjvu@KXo{s#b{JdDH%S zoL@3RDcf0@^0Ea<8?`vrBJqs1cP6kzQDYKs@Wo<5U!Mtw{(2ivC#bR;uWX(`MU|pb z4IIHr_nUvIUl8UU++s^;%x&QR(YQeU1*-z!`tFl~E z^pLoW{PhT`K2(ic$lD*%`#{rsaQ2K45RQr@UP_?YCa7W?2f@MJCK+kB;ox$UqOzk8 z`}5wKw>h%SFUZgwRXX9;c44DeRaktf?3wnKIq83Udq8vZk)#$>+H^!&8A!1SmlfJc zcOWUEib+V`Ga?!+5DTGZE?#cv!!}2aU7WZ;9ZpbnI1YkOp=ltAN$U%Dcdy^C0Vu%b zF-0XV00lVor>LS@8tv!#ZL`PYJJZlq?8z<<6{0RR6308mQ<1QY-U00;m803iUy zC%}Ik*q;CZ<+B6;5dZ)HcW-iJFJy0Hb!}yCbS`*pZ0vpOZsW$b=Klfa9XJLK&<)sa z>t@;B$2^c_tGko*&31OOfdCt{MB7YDq&7*l+QIzDJIt>E&iOmQyv96Ao@BnYswfr7 zV(CW8PR9Y#wk5J^)v8tNzE=JFe|#MctuKFW7I{~uw%J?KNxua-RaJc*S)=? z9V?0*f8Y!Q-#y)VaHF07u>bpi`}gU|Am~p&25 zJU1OLGut3^rr3|sP=GxR!ofHU`fe2A({u6VY{c4uX>N%?8e;awG9aYqOduIKoh{=@^!%|1d# z9UL@k&E`>u`Q6ijc6t)qKV5xyz4tTmzL+^6v(Fju3U~c;tcNs-lW6SpA(6(R8@b^Z zcgMDV#4msR{=XyZ^~4*vsnvgKxqkP!an_zu>7^om(%&%s%8!_eQbH{K?4_WD%!f-2 ztw=T#a{|TDliMP&hUPek&ywRnMD=%}U|P^G7vnu6_ETpkAwUIV>Bo69>KFCHUW*SJ z3-yiBu>@bJYY-tmOAtXswycV=#-b%27Lduts92g&E~bnGA%H2_*VKQypB^257r557 z^8g{SYkgn)?s_pX*IM=7Sz|}LzT`uNnA49lG0TsXeI2J1+c&O5)|wPl^4u>$u*(ZF z+9#eq0hlC0tA2RVUVdTe#|uQhj<0P@uuGs~c~aG)Lb96#J1H7YK2-KN{rEE~TFL2n zM#VEKh_z@ANM52>!YhB47H-Q+`==n>7DG!)S~nO!gx=kKZ2iCgn-Xlw5@>sl>h;6M zMRI7RM*9f1%~Go>umw!(s~sO4bY~~=iLcMDAJiJD)o4YOY0oqws&?Gy*3XkIX1**( zP}Gp^pFk{)^&48EH21645tAwDfV^`atlD7;*_os9n53gZx@&(eM;2*LD@pyRb$9{4 zhlVWDj~9>y?-1~^mLn!vM6$#5GpBl{Ej|f#=0io_N`J#pAJh&G4=;o#CRwLY+Y~G6 zCd60q!)X3B0+FI2yoRGCjc$NsKxd)HOmO7-8i3LHLGzP=r|5iQ-P=IodDT zQ>Fc;AH})Rg!g|6W3ngeKscvv=DsQUNlFWLCUKB>v646I&E%8$yUAxm$G=mcKHYKs zy&tdW`DDMQC*EK-(!pWlsD9KsZi^8SC;I4w@rRpV30v~7*UoV0h7ZX**Uk-G^}(&x zh5HUp`6%`3kG}V>i7Td4N5kwhG)>!VwBNrN3cv>a`m6)}iWeNSkQaAG*>?lQ@QN3MLx{zi|;919{XAcrND_;Rx}U zfLdj9B%uXzQY;8-(j1XyCbThZ|Z+>qs7DqfRb0Uyg*0y7xFC7IB5bu^9G(k*nB6k<1<(6Vg-P3BS`|IE3Q}t z3%A2T_udKdz5MN(kNk~$hv1f^9YO;=F$+yeBB0MbKZ?U^_bbOiHEu_2zV%CB?bP;Q zE&p!9Cg z9X5}Tj$!TMi+eAMgYW^wmS%(O?M+jUfTiB9H7|PNVTseUA0nAGyJc9Zq=VRg>&Ia* znDi;^nxf(ie%k^9#=DqIC4s4X^TrztTwgibOms!twa##B(ZxCw&B&lYSN+&|eQ|lw zvr>OsNR+gQhLo*b0g<#_IeK4bT?Gi#QEcjLtut3_rezI33JFwwrb3#*^_zBm*5-RYz7L*8*~T1b)zb<_9`m zvtWas`9a0}fZeiNP!7(V$m?4lU`K%^z*4`4$AmJ8o;gCJ^{sM*oM*QTmi^2TD&~I( zNE|PAW|XKQymLMiBlvXn;n_?$ZXABAOyGDU4*#qc%!3_edT$q*3GFR0fe-%PRX=oH zpW_r^Fns0($Bp*4$_r}gWYxqkx~xC?nHf9|GeE`Kb~FOlpFE%c!a5j@5Jh;_4vw1#-z-BodS(cJLWaPJty^FSKl(_JvyiW8y$OQBMx^phL=u~| zXGWk+TYGjBJc^s3Y0QEwF>ui7fA)jv&>h@8=NNJRX|w*Va)SD^!|*BQelLG;7&Nz) zao8E7AJ)M8YIRO5nQ-I|INRv8>rb325!*^gobiP&_`+2%3H#(_ z%(SsuHA4MuI2OLygv5INnI(Vx2~~w{WhBm6!a1o5VK6}nb#xyDl(4)J;d~ox;hRlS ztT#5=-I6qgbQ!!_Ag>fHi$YS7Fh$icD0uHi{BvH;OO;~ci6tRJwL9KXYxl*UG<>@B za#8Pnutm9`=AF01Y9f&B zoiaB+Ltahzc3@0nqtb3U7r~HFuc;v46EM$8@ik3fv7{LwJgkKFlBUzP5IQ=m)edC` z0dbHr(-hRSXE~TUQL9$39oN-H1DlVz2>62L4PIn97WJy1pf7)ig{JFwp);}uZsgth zaMqbtanY$AHIH}|1%t#{Vo{MK@WO&5?UcTLIy_Y*2D); zF`D>ZU(`vOfbE^t&YNdq`Syew)tjDPyfou#&YNiGBl^7-UR(?5RyuU+km2JD@QEpv+Z39#%BL%@SWN5AJyocisb^0H5KzMZXTswPLwqj;6%f- z!Tgg^2sqhUnz(lna3)kKAjEbq4pB6bO>&q*8OAr%vGoKDin83VD7y40RGP20ROeq} zQ*T1*ezVLz7|?~DRLOx_0&xk1t8C~J!!msKtJITP{X=3U4MyfeMJY*t!%B&Q*U*3# zrK2~s?Mi<^rjX>ahh0zDhWQI;q(h*xN*mXXfVCC@NRKZT)s~Ze-vpZPPOTAqb4C~! zPuiyzX~jxL4z&g69RY;hxynKeo-z~zAuF=WN(hJ0a;}I(`-kk41_bnW3s;^8+J4v5 z4sGvdKQ4l;ke3XsH$`J;;OIdGL&_$EGlg6tv;=>1BMVua4^|()JADXfw9NAQxb?w{ z?^)8)CP#Suu*I_QyK8Nek%E1}*dUQ{FrEz2eGIaDX;=-yVd*gnfWqoK!yy)jBDX?- zh&VdOwYFKk70B5XAnZb#ct@fRw`g2~?X1~4Fsp;O`N^Y>gS*gfeCT1LmCl*{2}Qux zgcE=K(D#j+2U(;Y?g{;l#vFKjv6RsC9{9xht`iO)EL=U|gNl$UJi-M|j!`X6oxt>s z6$+1K7FMsd+C~i+w|Iro1Lh&LY15~H37Ql>!r@>FR$zU){HT9e zSf)*P8zvr=*xeU0*lXQO`ww-HaVzyl-kpKq@((Y7`6sPQgqG-F2a(EZ{L>1!h` zA3^xbF0!!=NoduqpLmzZSr!H(4=ScZ&1!vI1Brlj7S#z(=#-u}>h%Morwo4}g%Fs7 z;5Ss>n}$*mVY3=1JTBI)7h7mP>yZHV;dpZZ@aH$bSHUxEm zOQBCLXdz-oL`N^dd=^O4f(8?0aV|jDLdf*1jZWaCx=AdK!v_sRRQE%*SM5#~De8Zdi+L{r;Eiyi zKV5w=?xH%W9xcqdXt_1xKq`&u2bx3^*xPw0v5-Nr^BJVkw7@zJ6Z9Ezpq|E)?2{%E z%08RItTL*86*(jrrm9;IxeZI@4`vVaVmCXy!&&$R{#>VjpZ#XVmBB%+J6dA=U1%L{ zvXq!xw!xwf22e@_tuuc+qhlT5pU{Ft@yR$d!L*q8FkE&31HNG=LO~)?Ppl{VqKXZ5 zW_xz(i3Uke9i!SDJcg+imoneEVRkHulkCSuQ!GhJ>g(NByOYR&{p--FP4g>qvA`7} z4mFf6+BMTqhv&`ug}7ETwsGGh+dPEpuSK%ml-AJR0r(b})^H%GqE4sSF;V&>@LiW1SOQ@KFT$6LkDhc)%;Yt^x z2yNl9ZE!sWle>FFTv-xF5EHm4Vy+1DLH2xfVRFdkNHlOflXW?xO zGU0aJk+9S;E8iP}yMC#8Z-5Xg;JE>hPiTzdsxwsVzHc^RrI8$Xd)4h+<1vT< z^3V9-nLMf130}D=5fXPlWDBdV^+>;>& zoS|P~W8&4fw-zy%KA<8OqnL9%YJ!>1apOYAsuuB za+iNe&JerKNMc9=%GZqxJnZ!;A{@H#xp{Q)j@5^!@5Ti$Aop25U1XMsXU#GKjg768 zwIs_Ed_%F?B;N>&(*(iO4WQ7bmqZRBDJ@hQ?(OVKxfbCNV96Hqj9{1120RHLOlHZk(fBi1E|?Avd$(+;0kbbzbelE_N$wH==RHQGP7(vxk89Jg%^M10B4b?9}S#E!~WE<^efq89YC1HtRnDbO%U~& zHHh$n4IKd)7zhwSWs0o_HzqWEq4;Va0iMrfOw(mZqB*hRwNciNFmT zPFaqd8ovx$Odr$gxJkk{Q+ldkk%>w~QbAP8u(^m@oFo+kPA?>T!Wl-EH<^E*U5THM z*ViyleWUnDvFThEtATfr@xd$pcj|P~n%%K07SjCUmMjfuxYxFuzrs@KXWWljj z6$t%CT*FazGx3HobY4rEdr74s(w`{1NVp|QS4QaVUlSN?i)LL2_S<>PAx#K4zN;A; zVyZN^Q_v=@#juB5LgeC~aHaO?PKOxw4*n)}qZ4_~>CQDWs3L#sJ*qJ-10?d(mt35! zJlw~<<>F1Q1J2fmSuUaxGDwthhcw$9U8j-Fhs_tzgYXFs<-3W8iwk(bVxo0CR$ zTLW$)2aoMt%N*O6wDJf9q(bRtbp$O^pBl|5D=46r45`dqy>mBp&De9D4eUoe6RGwJ z2l%fiyCzIPFzkPTq-@-wD?N@gs*~RE>2&%ka$*srf8|d0{dl||(Pgo(?&Hxgg&iPs zh8|L5Ql9W9L8S$IOu|n3OGKqN{Xx|uq=uxHs9zK>Ryt_QXVVIeE)zniU(^qK+@_0? za@9s9=Vz@poad5Juq`6%;uWQHoDP<_D6RMJW^Qt^$i#n-&MXqcktdzo3+JN&P02xN z2I@LtMCai_`|Lti^`OQ!i+LVHzlG{m#@W{r#z-eoB1b@@yYo0%+B`&1*T?`BP(46t zod8>y@10>f5edvngdzPn@7{5%RX-QX)vC(jp*-)ikVFJWA*p##FmB-3^2{mT)K| zUX_(5fl(3#0)y z7$BrmM0@Do#t5H>cd!`9%Y@GmVHcTQ&KQ~t)(0a24tBAPk(^ax*b0kVd#(wH_0Ae2ClTfm<#=%g0EJAl$xbHG zQO19&bKbF%ms-S>pvFvw=2R}lbFwa?^|P~!&4kzzwpc$iopjU0CG4nvVo(;P0C6@< z27sJZWUO;70X23PC3bi255XozeF<>rF}0)=G~QjIu!-b232v-`x)Q;-SSV7~(AR&W zuL5>r7~H*zzs4!LfV3^PoHWZ=S%fd)!A^hcmzOCCo#551jw*`CpIseQma;B4w14D= z3DP0Ci$*^#?o32#-+gN9GZtkDY}lUbEu?-@#VzSLx51F|Yw ziPE8bbGlRn{&{3(k>-aeMG;x8B0DOfGzEZ_Ph5_w^%z-Z@hi`**oXRAIVBwVz7Z%J zvc3Hd&-oF$s3j0P~}OerPKOx_FFzBs%%=p{~B)m?v^R>;`l zr>G^*8dgC(SvaMOh~V4OBZ!AyxkIQAMyfyR);c}mCtgXLMczM$iA580h2ATrY155wIB)%A)o2vU``#yqV&ZlUvRf=%L||Mn#}3L=I%EP~3H2Jal|Hg|uIm{lPPNE)qs92O$rF7%3LE{zUtq~1{NZx)}4c&~Jc zRA-G#H(<7~MhE>4mFdvX@EK~vgh}F~Ng(phi<8bvLxuhjh}uE~so6l9x1kuLt>p;H z8mgwT3RziAhOwkpSfFG_E%1JKYlrP4(PV9SPj zCfR#|*>yn_ELQ>4ru^^^^b21}Q+q&~9GwFBII_1Pn5_6A?gpbIKT>^yid75=< znznV(yl5YAdR@812rJBYaS|-3Qz9GF8KR1QfI3bo`dwq(raF?K<0|TV2HqX+542;GADyQiAp6^%YCsS&lbMR;9l^XM9H!v<(MK?0$Gx zGe$X;!=9Y~Dmc!g9kwj%2ZPF6Y_&GhRJt>ZO(3Ayj7t;Rw`Ru4b(hcg-ccuN9AXG- zKG|GFFV1}w+{RPt7eHoq2)8yn`R-j$-UNcOIYT$5)PAiD_o{#1kIiOVEFcE~Je{1_ z3`f~$%+(v@5^d>heYUR7a^&5ND6B~w2^Wu_v3%OLwVlYy182?{582k4x*C)u4v7%hDX>soAE#^Y%gBe^sfQ^iG9 zz}g1pSkk%6byUzUTwfJY)w1;riuk9AY%60zR=NN@8=e;7k(Ko{h5OjlAxX#|VtBF$ z*ovnJDnfrAaY`VG5+?$aCR^9~;yF~9`Z(y263sNZq>N3Fq9=kAZYv8!Ot?{%qZDJ$ z(wH-Vs%~c#zNOz2(nOYkW|J+k zfql~ym5G9RNRhOt;*c5%=X8^g%gu-(&q+Q?w*t3HK$)BgA00IN=y0J1S6pod%0dDl z9rM6~Z3K&mT^~l!#I;pvXI*9DqiVv`p9r!Lg?N7qOz=fGX-9z(jIGkjO9T6)LsTl| zuIhi(DII{tM+YfopcaIqsf)6-)n??wE}xmVXBRyMg!cg&4%dk!8Eq5aVecaqH+1>qUPAgQrG~(Q)xbBz$Dp0uw!)_uB2oJRhxU zVI~ik38GT>b}^6OV{U?{DhyF#p`WDbQ}koTi&D%bsbLh}G83R%W;I6XUzyH`Y+)Iy zAYMTRK6#Dd)tvEoZ-gXz*jK?MLR?sK8#H z4}Bl833!m`DNq_2{rKR<#hSsIsiS|)q73Cy4{ec3W~@Uk6@tb5mQV#WyI;N7L<`6D z!)~nt`qk3{lWn5-$?c=vrQHLm8*}frjK4Yg;dZxlsSl8!PhZH0FQud}jbhPZLSUU3 zgiJVJxlaW8FGJa-iu==@#-VH;@oOKSarc=%_<|eC)&v{2lvtUMp~H6Yk-C3%rGlq} z(3xVRG1~Y9H+-V~A<9;w_!Z!{M5iWkDlCFGrsrwJxXyTG&+ALFj{7x{D5w45s|tdouE^gZ0-{wG(EzFm2`apz5|mQ zq1Df;AiUd`I2aB0>*%VtPd9mC@<0?5clZ{)S@(4F{F~0IEQS4%sODc|0Z0p@8&0r8 z`0WJ$OR(_U#-sUPK6=<9F*LS9?iO}Yvs*MhJtN+52o$k7dF0OV9fp6wl+v2fy-l>@ zNsqEmf2N?g8G2(>01gRx^gFs$xv&U8L*d&2x=dPqN_KTmcc_sEcR6!TqOgAnc?F`w z@3`d~y)Wrk6w?jm=ljYRJm-8Gf*=Bmux_UBkdAk{u5BO z)v2>k>YI^And(Dtbh>jyt+6CjglR+w_~jVAipB4kVCZ9>WTo;~{K=Ec(?%q!{*B*f zT!elW*sU-C6+lH|AVihQ-KnzI2C3Q{Xr|IAL4b~%;2JOog)^Hs1cxa81th_04{M@TXI zSVsqzVe@&>Jv45%I@}1A)vSk8mLPS0A*M$G-do%jPrs1Q>6)zWY}hdEvRkoz-?ELB z^r|N}F3RFE**kx5&Vvkh5Y)O^ebM|>#rB8qw>G<sWP&(NMkUHfP?_EMzN_SpIP0 zOwPkj+3~lB_JZ|q4IpJJY$(6T%X^iY8{g;U)KRx}(3{(2iYbx)hUJvBJ7~-P?6s@w z2GEMk@f4<6Ex}u_6bl!z3Qj zC#^{;5iaZ`7Maco=0g4?8WIIr=+#4Wanw5Rh`a7fUJ&$Q-%2j|!E&I;$@TzQcvfZ- z>RG@=%P@|Z`CJLC2^o(1HppKrFuAc2>8)Ie*aIX%t1)KGynMgzxXkH_HpPu z+~7VI`Y3<7(SRIhj7ut5A=j9ibVI`jd;^4=xs(v-t5@+(oD zqR=GW2M7-wcMcPhTr@%vIAbg&tswX{-~kR_k+gpfk0b2@SsO^p#D^$t5f9-9HU~o! z%0cwxC-!`2fPCTD7!oPLcWwJIe9xST52J%Hzqp%|F06cv-QD_T^%MH0hASb>JLR^_9($$O3iBP`Q zC6Iqdlm{_4PLX+$yTUGFR8+OnY)JKblD}1?{5_^1J}ic$GMU_1vSJwwwW_5q*O9h@ z#i9tC?h{R@S_CY(*VK&jP_3ku>#VG@eT7Su%l0A5?p&WQ{-$e|aeFALNrkk-76B@8 z7NLXSchW=R31DT8ohTcSk)rg&nGH3ta2J0y67}xT|H`nZWH>3epqIO{AK)D(B|${H zl0_m;#B78YC&V0UU%V}xYyuH2Z;v9402lIb!4OmqxY4NGNJJn-{WYm!;8Z-A+-Jx< zaIIVDb&xI5D8S>p;uhq0#vxy_+<2Ta1zOSWzi#S?Za+xHKN0!7V|&;c*xwF`gT zjJ&VlyD}y#ZXFT&j`ae_8PD~lmotTlbRuW)>x80nKnNcDf(x=nE}<|QqLMA-w!KKv z8CVlEMneG{9=q&GMN05<-iYK-kQ4BhI#n>%Lsmp;2mi(xrzTWzByvF6x(fo_*2_X- zuBow?24E} zrXlW+A&Z?_DWs;?+K`!Wln|(y4;8g0{Y`;kxo!`8_{9+s0{=PP`R8@eZ?q1Z$4AEv zzKvCyWwCwcpaZrAq7LCpf~j;3M>pNYl3FVK4D!ZYIFB)?h~AK!T4zUJbn1VN?p(D~ zmV4G{9GuOvaSE0@>*4M#xK${6TZ?hhqNO>ziPW>Z862m)bQvUW<`z>- zK>Z10FC8(Kt$~zxU0vbeZEgC67)cl&v@OC5t6IX7M1t|&K_g4bn;1|{0bdZ0d%?jX zmRP_>G?QjQIK`^2qoo;nO~!vFv+8LkM=M*H;_+51`;s9=mUYf0_Bnx7$^da&$;vp& zpA#sEd$q02zxbdOl~Pqlnt7a1koF0ogj$EOmuzb=} zQlM>r5K`tRy7QV-U!bN_)FSc5n&{}b-EP-AJ5{lp?gR;^&<(#B4_JSxKrE&u6F#;p z1z$jKo^`O^8d&+`-kpRY^0c-F!QwrFt!{#*REo*tgWM=rxbf2j!geX;C42f=VMGv^ zoB=raQmxn;lzBv5J2zH+Yx6HAn-}a0xxvK}qJPcSK+B`%BE%g#Tbq9|YJ~T934m7C zG8fOCw}X~|qa2yE5V(JrWRmsog8(r{GS*<6xuMT8i@nE4l}Y*Jq)U?{!|-Q_h$sDp z3uhxV`s9opxFhEtwE=t2;1re7(XFjLPn1XmbglnM9-C#1%`NS~E^hTdmE{7MH~bIEyS@dL05 z)A^7E1siQ1opS2SYnrFB^%HK^73on>+*th!*asYx6G3 zv}DrA&2U0KyYMqLT$G|~3hoqAU__}zjL=<``ogkZND=@6Rni>U#}hlB!LgvIVGZR!ce1qr;iVYmxFpsz%CD5QJ4|0DrAy9<)0DD2UH$p#Tgys*Y zVW|X)ge0}siUV+2tR->Dr1jk8*Ev3R0rdbKXSvD?2_6Y%B`UL&HVYzLZVHr37y2PZ zW;{mw7)BkYzCi?#Vc`2Mi>9ChS37QW>*rY$Sd{)6uu4I>GupTgU7?61A_U!DrVrCP z2*!XJV3U97$rY8%fy^m>(j;(Ybmnz%hCC{9l4dTN{D#^gHPJ2@dmfo-E*xk{hi-Bp zH$?HLs}EL>iaK4tzbcCDn2IWdGbGBa9Q6i;ydgRCN{U4G?PPTtJj>%M4k~9gA;}s? z0~CtQP0lZ|l6kbAN-X0kHIJubqcR}##Al6zZl`~)>M}PXswHDn1jnXEm;=V5OCq*L(C~(}!0C&^~28^l`%DNPJ0ht9O z9VxiI2#K(%L)qyLH?FfJTkk8^U2WKHmHt#{6%madE8i^d)*h39Dy@-R{Df{@5FA*0QL&rwUZ16%Ha<;lsu z{+hvlZZMv0w(YsEX|CC~D{tLg(x&5hRj6z$$HKwia6&Vcts4c%wab#UnQ&i46K(aH zoz^V>zCaUQd09`oHn+IyYfxI%?qR*&Y#o0<-Jq)7`q6RwSR_tps&;F~2Q5@Iq976f zqH?!+)I2OG-o<#Da?t1E-6g7zQDgaByqgs75?xXq+mk8YEjliL)#6=U(~`8$K&|Ml zhKFw?g`}0b(il~DQaG&Fn)M^_R_>%wZ?tR81}mERP6}C`49=|WrclFZX^xzX_}qU^ zVNpMbr@)bUx2PKbvD+!Mo*qZmAC|u;N6skF!rae%sA$zk2lZx~olVrvm9=WcJgnTm zJ4GK2R06=IFgWBC9no$!2sU9VFsTI@$YJ7}G!{43#7S6^d5 z2}rS$USOe9-z|87;AzcRn=^xvJZgWv<5uTA2T!G;6BwZdKYU*sZ^D zwI{V~rBWgj2-~G1#6EzZkrAR2kivVyZdPi0Xj*<%RH66ZX#yaLAA?SG36XzI{k%U> zb+`!)W#F9a;L#q*#l=~4pC}c4!)Nz>uX*`X2cMbduZjw76j6CTnxK#XajZ{>BuqUM zAhPM(+F}GQYBVmjzOQ|EEz8e6Dn25nz%juQ;wn9JVr~a5btX96Zaz#wF1dt}x-NGOB;9 zgPzdxqbwRSXzC)uik}o{B@QeKh-cwfvwB?usn|ZU5m36Ea2>QLc@%$CoEZ6h2@BOT z3gfI*Nqjvhuiv#_cYfyR`@4gmI{&apX*caf2}rT&=4H}5x}S^wWv(Cko6b z&|UW$uPerceoxGjce_}5-+a^SR80_iG%R4wRpDVqomFqX-R{2cJ*5Ni@%-SsPM3}V zOm=EXMeR4ZVFrvAzE*z~DahY-YTtK$G@Xmeq_wacOjAqdRJvkizi)ki{&$r*unI~N z)S}L>CYWSt{wC_Cy=R)BvPFXkTw@3G5@dn?=%cj>dgB|}PGwX!@NRJ>0r{*lNYACL zternY3U>+cSCw>A5_tNp&0;m>xvh+#5=E0L!>*z5c0Zw=vyXpNVN!SQ;kX@=^HwAm z%amuz1{2Rvi3=J+5kt(7gbw)fnGdX|cMnzgXbU`fz2j!H%?3+NGPB{-l6@&_s25=@MR7TERkc75-GJjuICV`^i;6nQF091-{ z0+1&45~K1wJTdhx6imCXUKVGu5^L|Z4%;2Xq7jBe+_Hb_@K^>GZRVn>Q*{ES5_px3 zSL8Q;^=3!cfprJzWTH|Ne#@5(Tsvpox-Q%J)Br6DTlsSmtIy7oP!7@jb}=xki8X;F z(+A4O1(gtRFFMog+eHZVEH*(SgN>Wu!lp*gra5HreUmu~gr#ZLs_<-&9KN3dfjjE% ztX^5cS9yQZff(T?JNR}*6{&= z#a2eD?1@?6kv>K_V1hIM}ZhQy*QIOi3f>KPN6U-URWQ z8-)KBJx+(_8&N7dcZK*aZS(D4|@v%Va%%=|0pKVAg#kd4~}iV&y#zB?pGY zN)qA`q`a=an)j<#RH&`!MHNA=-yREH_RY3zRfK^=!)(oa%Eag$*A6?4jdYiSC9C%n zv7;wxr

d8xLt!ne{jYbT^K1?)fdzvI1n~;Ex>);8X|~Zac2BIy~=Gfql~grE7nE z6SI<-EiS((6m4|SDibD9P0+Q7xNT;Y<+8XE;IA_FT>^LoCpUxD8NaAlUztU}XPvu> z0AV(M)HrTz)&o$#{N#cZ>TQ#wux;UDfx%JP-mjxK%y3fDp|`uAV0LBpUVpUntyoHe zK~Eag&5cY$zVasn;0z0;of3O?Ac}vc;&V`y<{XqRGhVlbt8XmZB=th zcf>aVpn#oT_~f1-&z20pEwQ5&aT@!|jVI$J=C1$^bX|x!n_g0LMQhjZj@X=)RcsyU zR0Q|R+O-b03ii`PMJ3o?`t6$XWtpa_%ZQirCB_tVPI$1;5;&Pd+}6o0PAT6@T$~3N zGFm*0pf(XZe8A)4qC=EI!V-VSO>@*L!5g`+QjjkbpH@<>oJj1y*VcvZ3gz%q^3GS)MArI2L@o`2rs|7M$hO+hKO|G#;T&&I+GJEy~5a zW{uOqi)gF7EzX3Dk>p)8XQehGIj3YgY~o2Mf}^~(M`*u0MCWYq-4}n(%cA+%^eKVN z3#-9OTaaGFp)X6{9p@@uQiT4bJR>%^b9OH{;^rPVF?>n5eHQKh838MbN+|J1uru&PDL`7hszI~C~X{NH1o9m=;`RDe+#(sbRJ%H?b&fWET3E4Kpu?FGcyN&v5b z94Sn%+%bggR^#z5;ppiB0bk9CuCmXg4ZoPs-cl23eOX$EZrY8dw$+x~BmJm<{#uJo zB&hu!H-nnlx6pqg(q8Av^mc`>K3YA8&WW}Oj`c?<=?7-iyM?7#89$NjC{rg43Q_rs zg~21MWJG5=gBo7(l5>2k{KdZEyPmR0O%4zX2vLq*{?thm9|TZElSD9T(SDOE5xm<6 zQdSl=Q@-dOUNkrA@?78}*PX1b;N=r~ox&vmZ-CAMEPsC=-UVn5O}B``+Itj2AT==Q zdcmP{)Ll4KGro&bZIOX6cQNBT?u5~MUq7Juv8F<&d=#Z3SwIT^Q=SC$mv=>E4g-V;IT3sij)}**)a+Llu!MqX#qum{P{m-T|D*dDh za#I-JtadMezn)&Mef~7Nog3U6@!!m>sxwD?mmd^|L=clv?y3)>x z{fK;kTx69muzlm=h{f|KwAymNnPp0d0`m(lY6G78=1ex;S~7g{B6 zs|0;hPcw^Epv^H8udV`Zq}~>mvx)Op!h-a;G|JA(k{-6QgZc$40Ri-?%op;dEZNEs zzyT*}j_f;O7)@lMgQX_D@Fz1!Jr68(NA zm!<^%@L_dzLeU)L36LhtUp(x!$o`S6DQ)kS!LRnz)B%ogny9J-Ze84VipaLAbr#Ta z#cS2is>?@6$;9mDf>=-PszS0*8L5<5 z(&8%PQL9d108c=$zgis;==%Tced}`DNS5ZSVAVg?HEr1>MN*euGeXyL_1IM|OV#e~ zKOGBteR{|wiOe}EPKqO^34?}&aX)@;PtjRRbTl-B>dFSgZmb!T!z)mgl z^;Zd8aR*}?kP7W- zH=D?&!?mzRg#|kCiLRFuCP2=>OEY7FhsI%5soGG5fW$j{rfA4~? zu|5_j`@S3Xpqu<9*7bM=p*N3fXIwfar*Mkv2zk&6a%zxSf=(`rgc=Hc5j9vd%B5Vi z)LCLB@txM@cf#CyDNozzn#vsfZ8D8fq1M1;OJ&>W3V@CNgz7+6P~n5k=PBaw6C`zi zrBsy?4Yi~A7KQFnevXR>`IfzbZftIDC(`v1sGQA_UBY2?9M{7kJ-onFbk<|+{OR(9 zt4h+pXJHCS*{Q|Us>O)iTk}L~R3tHvQa9s{cpCK%N%f{%u zad3;U z&4BgwBSg9ubqg3+x-I$x6b^<_CF zVG~%O;CEOb5G(FOV#14(Mq@~SPRLM+bf|TVlN4d%C@+Ivn0@3+f-5$=yY$Hi=q?$O zKS%kijwuezMrC^1k$LjJ0+rs} zxZK|6N&PPfWtYA1rbt!-&qp0Cq1OO3bVqJ6M7P>^ zoEp?ml8=)x{m2C&@oGGLwZpvyAs?bwJAb=M278@u`|yBD%0kD1qEG+Hdv6#0tE`hz zL!wqjF`&?nX7tmUT=aW?Qb#12tFN>jN;V{)@D9&U_Vy3Yh3}Ua;Z{13COuB3*T@wY zO|YTC4`V7$3KbukuqcI()=Ke^ef$(M8l!>(V;t(J+^|S2_x32|jN1A95-{qTYU|kU z-vdZGSlv6Kg%dO9%Mv&8hJK$x{|yj&2%R^c;@(V^#CVSYJCtdEkk>dGUZa9uo_TaH zfzM^0znVTPM%in&F3yD8ydr0aZcxLBwgRDLp^X5a8vtMrqi_(9(X|08l>I}6J#|+h zTd&!}cu*>%>L2#|)zdB-E>!)7a9`6k^B(cNIp6KT9@G3eSwe84B-~Yx3Y_AVJ)URH z5U@ZwQim&7u2GairYT~n^izYqnM_PqAj~Ij_SP#M_p0y)4#2vSOuz*w z*v7flUO&um6M*Cmt`o39;ww;ru~*7@V{N!bi#&5bOLnS%xeJ}5>fIR0ZCB|0ELh{B z;axuhSwJi`NG6Iuj!{HWz97F3M=%RmU$f+bF$6y&1Hr4E{T7eGE`V1%twYI#g-|TI z8id&(j$iE@qZ;Fwro1_xX8PZQOg-cYvY+t|H|=Y+X+U)&TZmg`a(3^84b4JQxoK(@ zQd)n$3Bx{rZ#+k3a-@xux*0L?G-v289#G2wV|(v)eq}BFtBUFzv@c zm@S)rIGg^GFtkO&``y-7>HAvl2%X2Ea9bq2fB$}e!y-Ym4sI`%cSjq%RCXT&VZJ0g z+s^fH@negG{k`S%ElC!Wr&&DIjYg;Ejs1(l9p^TWxvhg&HxZo4#@rZQO;OLnSeOXy zVd%zMVcJ$!T8gsCVt8LE2Ia2g({_6S*!v<)P&`NPGk6iaK+$Y06&s``x>VEp$M=8b z!q#Vh=WKvYrV;l8C!>CKh46ZC7ZzF=D*NyV!gi@TrQ~Fd#GzDnm=iFgX5euBaC7#O z%Y@fVgD!j{5jjUFln}y*TP?wE_^=6i&4^)$xhMBs zSW36`fMOYl7e2!ZyuQ>OYofIKH9{${ci6)D*>0Zfil8)(Pb6pb@MK9z;6-Kkyf?R9 zfd)I$+QH>0BjtmnmiL_Z>`r=>gSz>o7e_Q*ssd_6Nd=osqY*N;r-Mkw?oqn&Z`w=1qy<^ndzCei?M;&_N6NvTc2UoGE0%X3;Enk=f_#X(;sw(O4_9Q?x z)|ZiED2mZDgkvQUKAA@BStj-YPBKe>rb8Kt5rP^1N2|rLM>?(GP%j`8CR83##O@|3 zj#wO)-|4vgkbqmMKTc^mES5x+X#=cWXrxbGHOP|rFh-xgK*p&0j&Avu1NxtD2yMX? zREdLXcIcqEhB;9VMIYjz1d|io%{PU<%HD09@$O_n^hG%*QApi4j=t1h5`_JK1o4lA zMqOr|gk;1)D8L7~n!b^Y6(Pntg(vzto`P3UOh7!Q$X_u$#qIe<(%0lB!T=#^h&)Ei zpxnIj;DS(g?w;&5PLEsU7A$G6qJDsUVr#GRc4|B2C}i(Ai^EsGj%BnE=`r!h#0v!6 z?uq~c#RPx~q%=ULL9@B~{?EaGAAdLx_Q4q5kmln9TjQd2a?#lFOa~@_K|3FUKb^n7 zsvcCR3{=lv^~x*syJ+}4n9t})5up=)iYN04M=S0H2*)9hdz6yH{U_f#wHTHP>c}uk zX{)|{!I4}YcSd|&pR*UDvpqS_5#R`wXozaDgPYj5;E_A^Qvk&MF*=-)l2%fapG6vbOGP9yMQ@oUIczA22xK^2yUQo zAd1_br1Es!pthl7{9NCEz5C}&%T9l`Aa)WN5Ny;C3cLaW0gMe{VI+mY@t|FTW-|(f z#yuQh%J|ZVYSHCDb{*~-S**pE$@;P6%D4fD^wRJeY$8IYqF4LZuyO6(m;%tcxNZhq z|7}pHQ^rc+qmD|84>g~#jMXHS6q1X`Ar1<9wbK+~Kb0XuYk|vu63T0hK?roh(M?cj z@@O(+n+Ip0jp7s`-;Qy}96RMqdR1Y| z#V@|9qjfV;1j8eLDX++Cg-*;CEQ2a6!qc?jb&}EK>6|P%NK#xj z^8lwik4zQ)a}fO&>%?O zzyI3|>QtpQdG(fyug%ZiBasA62nAQ;6!7^BBm|S!Fe00$$O1R`cOA+RvFh7XGHn~{ zpA!5!lRl1xFDZ0i5WPXDS?iD@-0AKhvwqmU5wHyxm(L&HzoWYaS*FtYIQk#UPjdgH zb9&Kq*?>-ej+=+g3-yE*r2Y2Z>G2`oy`_F?imLho zp9t8A5m+4&29qnC(n706m0B`cK$KA#1Q=03m?6x6Jus=zaiYpgp0G`c{`vrV4ZbBc z6s(jgB-Bua%{A#v)O_Mxt;FSN@-9u1k(L>7cQi~xy3S!wv!i%Q%_f5(6y+-%!w!Es zDL%a^K2h!*;nD1f@4I<0_=L2*gTv0@K9cta_sD4IcJ~`Xlg3M<5%jNfx*DTQ0*`_e z2#lY9@_+mEUy9?O9SxJgJLHq$Fk#pf2=*=>pkPN7wB##;?{67&@!%qbxs-H`@Q8JK z`OO;$v5c^9njOKKd6Va}qn9r;+&d;{*b1Fvif6()i9gfpml6b%@yixWYA>m1047gP zITP;~X?6pwR$c&RN51(VI;*x7s_GHUQ)GL8th|AlfEW%4pN{bVa#{HF;L)bH?_wO0 z7#g6Er-idLPm94t)6+ZR7a=W098MXy3wTq(=NsgTBDF=p+#Ka4{b{VCKaOV?@pw$Y zqt8KlMEn;xlzumCf>jO3b&sr_SsG7sKqVxseUs(#lYsL7ZXFzxqwHk&w9`1qkYgkZJz!g`gOB?1O*lw3CCx%SRHw@U4ktN9HdE>jPe(7*1UvQ zA9-5}*??-zhD0@is&_N&*g9+H2*`&Uil5UbXwj5o2NJ%#31`ucT+iLCj5#Zf_TBX3 zVyx?jt?XNv2WG`+bs=T$bAkjY38>P4VjMJWg2p6mIZU(hY6q2ojwEN;Ex@yj<2n+@ zbwuM76VKLL`r?Sr1hZ8G-uf1ByV!B!drvM@{G&#m)S`4syq?c!yEB+6`;^~$&pc2K zb2lrGQ-DdwYV)iX8$J!Ew_zrSd$qGecW}w=Uosi?{+IZd$q^rkfQc@%bnqU3d>2vy zpYt@z2RHO_1Ui@BX%Z(*T*wL|^knD9T1(g}?NXax{iU|Wz? zEPQx1J~@W@)URhShMqx`ntHd z3QpiPefbWRpvEQLkA_1;3C!l1D4ZkIC@vSn`V&+VxP|U<4=t+DQj|b4o>NQ~Ihg5E zM6nudD2 zi5M%DrX-pMR*N~@RW#3{_>Txa;m8IUN=bo0)f>{LXcP9FVgl^pdr^-}#4=!8;?B)} zgee6%No5h&y`NE4fwQ9T5U@fzW(x&Y;FG4y;EW(_&r!86VyPe%INV4)B62akjdpqz zyphfOv8{=z$1zqxQ9(jJ+&z0Bg zg|WFONT}c2v zGhl@X&IiT`930Lmq{36z;2$Du8{YmQL>}A`M7TDE0tx=awqg}n)4>P4raSs%@j$|f zf?%J}>GrDceDda{3w(!?IacXsl&98f@BoX^RZ3ZYttaPRgmJeKhbq22x7xM!L!8y;fIdc{f z*25|KqJSE^!@wqGv?1ymf|U$o+-^lVlsiOF)W89`I<;Vb&G2A8v9IzaGJ$?Dxuiff zX?Ia03QE*~;1<=rkp)BN1Pd};ad@{}2;OcQFKg^GcpHAQ_Ue;e!o&(}gT}y>d*Htp z6&?HVAmP;0j}*!y;j?mFB8hw(&}!LCVsBcCpIktL1afRc1f9itV+N%4l zVi9V891WB{dXjfE;e>%aBoX`1U;Yz+V>{3o0SajRF-l``h$1YNU=k@p!D#+Po&eva zuzy_5q7ZSfg^U0co?U_i5o^I*gE@y+26NO$@<)iR2^JVjP}~|S)dR5rvTg?bnGzs~ zT~1I239>0i0Ce`^8)IyzXtGvcmO^wUK9T%?LDgiG!H@{F8$<|F!n%NR#sai!d`;HW z8+{F0$@7JGNopP?85D%fi!q^?a6^SeqaHBXw9z9)mPmeKb{WDIL3%mt9TK5* z!Uhk!4{pF5==v+XJxV)b1%`f#`4==&Cu~4E0TlsjIAcCxHTk&HXq^gCCViWW04;HU z<$JZW*M+^WqHdQkV#%KhMC@T#Rft6p( zGxz>Jht?zb3NstMZIJLf+8$NI`lavAExOeSbCGQ^qI)GZyqo5U>ek8T*3D($tz*e*XiGMO0uuqzgew8m`_R?gwnahT|w6^ zL>RN>-|W3ft|=M9sp?cHO0#5!>dG@{&#?I+okEn#hOwI(lfa*aWJ$P_RFExymCl~R z{3Jq@=!PQ<(IMwxzy>WeZPxF|bw5TMnj!9$2EXpy2Lxnx9`U<62WiXBhA4%E&;a};sF+fGSC%|Qz#kDJ1y_E$4nyb*(vuF%& zD&>2=OR@JH`K4+m%)(VPgx$`6-iJD7Z9estIC%{`6($w^ zC1Raa60Ug&&Wn2UV(;R#D12im;>MGTOV{5A04hA8uXe~}7^S4s(maBHl)jv{-fr$6 z95fC@EaG0H-G;*c5Ov)d-Ui)&tE?}Jri6}6;hQ_#U_=BX5<(imh#-$gjdiM`lMN{Y z!QHDJP#)Q3j4&t^IDokm4zX7|2Mzik(Pnr=VV`g}@@FzFiqBs#NJ`28B^Wf5llf$c z5>Z2x4iO$oa#sz|HkW0Aif*B}NOq-1pJwoZ zQ|L^@spJqGu;U@L5jqFe5J4%67_E_N$OjV+x_mI7JQzY1EhK$FLi`dr!zsanVWgyL z5uZUo681|taS_~P?$=UE4qEMQLu5--s7vI#fss_Umc%EnRfS-GGw5S9)itYkETKsY zQp>@Mg%Q(w!j?&D zCO|qs{2ee`=2`rREak%2QlZN}6Xznl_+-Q^VoIQmi5@64iRStQxr8O(vtkmYP)Z{u zL!`&;d{Bx*`n^_v0UK=O@R$*8L!W=0jEA&IsC1V%@hB(dmi^g`QH82)kFVBMQ>%4f zS;UFE0qFh(b0{=7%42ek6;}VM)!9&U59&Nsim1Q=l`Ero5VCNGkLJ*@7Z}3*2dn*& zHDS2A{|MAj1(_A*tO#nU)7t9`ah1GR+04C)zz1j^m{tLQ$3~l;*N35XZm*h+D4ip` z>=`}C6g)H7%r`PF7bJVOQqOXE1~gN}jdMNVK_sCs7d+Ou`AJXDmym(>~GCW z!xm5Z)?0;tf24-6iY9VI!8#E%Y~f;Q_)-Y2SoVC1(I~RS%AUvl+g_l#e(ws=z!LSO zVEW0~R6((_@hHYwthvfnf$Lq|f|Ea^@&PWH4zgr5&04PE?9}DATM_|+&Q@j&dG}8i zcnhJ!Q4_u;XmnG7E8&n#exwlqMG(IpO{ZaygP2l(?$)LXThe}$>uaPr5O0&pDf;(` zcteD)u)z%XFSH^gQB7~vSeU6+XsfMYT`nqDV-OeDMyS}Y(C)H2w-Py+8ehA&nNOD7 zO0+dq?CN|-kHAf_u3%pR=F&-5W@!a^2z6vBWa{mz7?l>?Ic>F$ zWxK|I9tu#DM7f8y7;6C|VzfdO6lPIq&@Zh6S`N(h_`a7lN&O%6YOSUc2o*3S5#bwR zIrCxxQ(R8Py-eL&=|()XhZo=gCpk8%01ErG2=tTUfQw;Hi7DakLaam>=)sGhCGZu% z3XNiMQ!_%$x@gx}{~C8GEZ*3a^KNZ&2mNk;Z9aJ@-*BJo>u_9(s$Gt%ipkX^%+)F1 zM|OP6TgVQ`AKaj_Ni3omVe?>`q@JI2OGEe=7sh+Sv{^$jHc?>(BoS0}jFJajqnR2% zDk|~BOsVrF7J1xl9yj)Od?#Wc`O1_<=`Owz^ab=}x=wMnl*~xBEY>(lHHYCsD6^!0 zWk4yRA}0v_MZhnt8p6&v20Iv|IKH^UtD^cvULN#(AkmMq)?AIlkqcBn(}4lB`NbnCGqn>dnQg>&6m|KllmtT?vtDTJ2+ zkxY*j`DwQ0Gm3H^RfP|>;bq(KvZLRBWciFLQMMtMvf)Yog05jxozS&F^NFo!`0j{T zxGycz6sz>6#&YOrvm)8x$8_2=eeFTtXuRg?TN1T6KZR zv0lNy2dA<&DFUwGDg5_9Dwx5A)NPSJy21{y%04cpGL}u`n$z7`E07A^SQM{7@E7^) z0qK96)0-;WgMHZWFN^E8_DjyuyGwS_zX$ zT712Q$q5L^E48f*)&*?utaWz0@4LSN6&wcG60k}Ze;p)p7WI`lTW#tJesQ*qF7yW!}lqqrkpvq*7-2@t=(8}MR?F=$D$P-D&J7b=4j4k z6pQK=*!U@h>sImz4f1O8x~O$LeCTSo~xt! z14(0ELcNIf(|#$bxP~FbB1p{; zVm@)|Cw-!AQQn8Le97_vdnv0f{2yO%5bRqb)|U^4ed!J>7Z+9ugGHI1!k9$C%WPJp zT1*_;G6^|`{Kv~5RDS%T&MMLE6afM%cwS6hBg4>yg08=rPZ&Obnrq^T8H$<+Ws$AYLyCi;v1a)Hnh1$q1dNjDB_yOPry(_h_p2ni4wyrWsq$RMO#DBZ_`jz zCCa{XLy@UYyca6-X|uE6EvoS^(LQujkLSvIDN^CA%kt8zN$CaqBEG7!ziL32a{-HJ zxfQ3cxKdKofdv_VpCyhi^wO1$!Y61FjGylcWff{TwLL+!6ndm&2y2kxR;0hn>ZV-R zMAl1vZvNI@gW4Tkt_}_x%?ocrW)Vc5mD=rj5QDs`sE-P}5;tvWsL(x1AHiKV7Klx-sg0@MK9Ei6>aaeTDqpTRU=9m>W#%vZ(5p1if zaDhV{U!%w_Ssa-iibA#cDALc6G=u`sL&9m3F-i=7H`pTZTO=~Q@KVxpp9&i@lPPLd zM!R9mIp87&hd4jkl|7fJHcH^OqRlPBm?!&W2k9+t$SV>7#yh^fh} z#)X$gFmzbd;3P^?)I+ltu$04;Ua)z(gBX}^Zko+k%~OH&hS!WhrPXF!JOfe|8D;d+ zs?c$N(WhEyO;)fnoHjA%tM@j~bB+3iIgNGh&or`O+tAhRg zr&rdfB~*W^(w6DVjrXAUqTHwhp*I4!Xofxn7VKODdzOicz0h`*ud(pGqZj)W)i&V@ zhV>s_a-_~;DsVdZ>M5^;Yc2N4!z;Wbwvc&$uoyx8`xvh0hiy(@`$K~TM&$abyPTf9 zK?sK~l>bhH?lr$}qoDSQZ;<7xD&ZCAuqH}*P98!KeKlm84V7(7r(7RWJ(hqB8GFO6 zE^oN$+pI2TdyT;BveN<6I{^?r)E(JPo|M0)cVg!i}MYs1IE4l4Ak+2U4#?=3ydNcZnzx zh4#rDqQw0L3g0SG7EtdNJqFM@3Fs!XOvyGxR3U9R_{##pQVpQ=f~^hnqE}YwoWm~e zn;uB08dq5jU$6=9B`5K8eZj2*p!bDJI+=!>5=@1r)mWCzP3s#zWC@X@V?a z>L`M^&h#D^%6C)-mkPJ#R6b3AJ{7OhWt-x5havhe!4Zr0D`QR)eP*viT>|R4SE&rE zJ%VQ^+yLe98xCbu>!{%ts4bf-7aT}E#ZYEQKiy=1i^mj*0XNULX3cm|uFqyU%zr5i{5 zXc;=#a4YTk(Qj?4vcosz_$@pTfFkW!2Jp|hITFg?eGfpP3Lc<$g=?=ckpf<@lCE8p z=;=X3@x^#DLDg+w5H-wyLTCfJyCd^{M1@nWvI{o|o(RWCc^JaJM8qEr(JP1p;geDj zj3QX#kjqVHmc}?YRRyGP%j^u_5h{al~ zF@TQry);fhE7&?)(y=FLrKKLiD5`IagSfTI!mRsu~8m17_UdugaXX zCaaG>HCMAfTGgDuybV5@H88MAOs*={g$;nAm2I-y^pw|DRfO0(0lSRrZF zwJh>-!~*z#Bi6&~#Z7v46A#XiG_L=R&&Z{Wr(^}7&qu>Fgy6sC&tPm$Aum-_hf--i zVIi;SvqJ0W{RIj=#W@P^F6TEqueQ`HU7b5~YQKstz>tYNXrl@THY3-6zPoT>7Y5HU616i}T|QD^Xk5n~ zT)l@b1Y z4PwaFCK^nds?}Dr5@OcDXeDt^#zG8%H#~apBR(x+noxw_31#Y08kM&8Yy}ON_Ncv& zT3t7Py{s!4j1EU*v=#ZfdLLE$svI{*g*S=nLm5I%mbu7#g#bj|rTJuP zzodjQ+2)qd8a$JRHHyHZDjsC!JpJ(-GN{piM50WFn8|n-X8*fLwpz#g_9}RzJ+hsu zPK%-aioQ@yH>fxvDd_D%JOB--R5x!z_i`v}lr$mQnq(Er61-sc*{y0t zS~@d%imb)E=cwfAPOReQ=PQucE z{%og^Iy^#seZ4DN+?+El09iFph2gD0xrL(ygz=2j#t?EBE@JgYKO*ugfJVXcV^m3l z*92wn!Zb4t-;@L^4WuyI=X0dRhRFGqUO361^kU8yzzqha!E0rIJ{O4=O=4esV`IM1 z^(#Q5ZU7)vQvaa`WB-IitQJ25Cx+X9thq-%v=p#HcF8vi234DR5aps;-g4h{r30bn z)N7FLiWo=KY{SRLDeZTwrt7`8-}H>?a1Jbq*4A*aLJH`<1?N(F%EbUAO6d}5zol<& zxp-7%uIy1f+eWoVT%l3a3>DnyI&1y3#9B(&#AC0_vbSNp>Sz}OIDEy64LpW5b5>u(c#J zip&!6Or|-50`X;m)np0@=9@=D1GHAN-{iA%iv-yK6uRexBmYs#LPV9IvL1@4l6(LO zk>n@gNh@8l5*=u4s*yi~whQ|a=keD*ylueW?a$b?)i9ekbpWYOo z-qR=k3H;fRRKWnY-d4ANvwzU;N(W;1aKCd{)!@5i>}q!4E_52ZI<~E=Bkf^ZFXB`7 zB2x3>4Y?7?B~4m?^lAt3d(#|acJh|>#PZBn#gC-gg92rP+4#VP)mc@ z$3^0CRH4RR;~b5*IOrb=rGP<@dvNuC)i>o^azm#P4^o)rG=fp2l@J zqtt7klHZRt*Qelr_k&JtYE+Nz_p6|nby@jW?)N+HG+L)Pq_U~Te6sr0*m*rS2i4<6 z%qJU~&J=3v$Ur#)-E2RL4E$3Z-91GSXhN>yIG&0KY&Q?P2as4IoET*h4mlYfW(HdX z>R~VV>@)+ELSEEhTQk5@HUr#(k~U-pAj8tu3_$nX)o!eR+iLb(Gr(h3vsXdGpDmiW zLeQ+MJANHzfX}T0yslTXuw0$eFDIL^d2{AX3IO!{wsIM)a+SkTY(G zvb6$>{lH%J{D(4p=AP=jr4Ku_5QB&sZJNCzT=;?@eZ zwF0#ojqXc|##iwY+h7oYBSk-CihY@(@U$pB#L8j;qggqqWTohr({OqlW^%Y!J39fo zk5MQf$xe>RQvbfma{fq3;+#|XxvfBML9Zm{zrf;Tx;~O#DRtb8_N>Bz`+K>taHF&E6ZOuSiGtkxy1kTTD7w2D8 ziJGUZ1es}ddgzgsR;5fh< z<oVNerm@X>y+YUGMy0x0CwPm?D>$5~#%uydNOUz13AT)D-mqi(UrK1@w%nw4$89@uHJhO!$B0C$nelx5mj7 zeC(r=94PkUkQ`3Xnc`Iko%!VPky@^YD7i{Nv{wb48>vsns96ALOQ!%4jV_s@q%A|zbstKWboxm&0fKL9qq$OEM@KFYDMjT#5rs|8dR7A z)#bN}I~UEB?|crzL!IN_EZMo?w5oKmJn{cXs;=d_i6Skjk659b%w$_*M!mZk$r(qU7GfxG#nlwp9=jkHO zh<+@|ZF^=y=X}gV8^-yv>9rG6>TQKwrdBbfR=(6!#F%1x>_n>H8ZdDX3X8uL1QXiL zL@6o(Q&08jker;dpUBs3>M1dhji?2$Hw=9@LA(yfxqUxex}Va23vPD1>IF|aUbhUI zn?BT4A(=tH`69^j2K_3a$Jd%mSIUGpf|_<^@%6OU&n>RvMqcW$GempPKE(R-;QH6e zT}Urk2_e9`gSQrt1!+}X8dg-J-X_x+hUP7uu6iuL|K2*55+hwvqPofAd>Z!A$EMa? z8kv?Bv*qe_gPBTypxcNB@MEnLv^ZrIjaOP}-XzycQN@i3KVJT@WZBE z5 z<48RqI_6G-)es^;2^1`bE+2@%b1k%{z+wx}n+;L)okvxu?L2_TLG(vBfDbTVrXyG~ zs?T77s|sY28+;xt)d=4Y4}ljwL|_}iG>(k`KRx8U>V#*>9Fkynz~qfC$(gkPj&POk zGnnUptxmW^EQE5KToIYfXg$W(Egq(mhx?ihX0_POI&m@viR$yM-cwgUE`22T$_sCB ztx#S$o|-~Ce)EaV00q9eSHLGQbR))Epk%JJI^6hY?j`C#s)M4$Bq5_#;J}(tuH?YY zZlMQBNumUy#ateT13Od=$%L3@rIZu@^kt%d7X3w3Egvepsw)CTbi**n(Yw?jV8JQm zF+3g<_JhPn6gHzAB(r;POY}BgI|JY|*xbSM46x%QZ3lYE`X#9I^W;X1lL0j{CSLp$ z)xP1WVf~D?<@|Kbn9`DPSBs{`4hSdYD!NX{@y!P$}Zv^kkJB5xr_;O z;FYD@n&a!)Kjss+y4ACV$YKG+iB9N$KXi$_jq`gIrsi0al%ku(^{>xt^0{@Ht52Qa z94U3Ks7!eTPg{}cy(hbvezzMV2`LDJNjOLo72dNH!Dz3-oM>G0niqQ)r_P#G<`X6b z`IV-3E;p`X-ty(r99t*Avu3AzaJ(Zm*0pC{m{!^Qis9lRTFkIrR8$B3+f_1uK;PLm zy6&}`!e%H$PVcAbFoLdwD7M#0GBg0Y7#1$U#h^m#E^qVftbKM^Y)0Q^`=Hg{YZ=?r z2th97GM)~iAkMQON#kn-V&MN;=q~&mccmbn%#eZ{PV?Y8L0Vrj;uTY-J!L`uolxW^ z%z}Od$r}ylP~c*V*;}{q#>M`B$*C;dP$BjI`O7~naLASR(RCgRye19tcmn&)JPqE5 z!#Dw&_M;s3oM28+<)Acr56f+pa%{|NiUbiMn-pBPQJNcO-E2O?n1eK)<uWu;gfP zLp+BP9P%(8V~ta6=B{*z4v49*gk?uVEXfFC%^(B?py#N>vihd!Wa%vacy&ly$&*21 z+Ul(#wPjTlIbk9)FND?@7w6r>!$ZNKDk$58ms{^R+&gKWDzU2zNXe_tSidDAy0RcZ>wuh_sqM zgf>*Z;~7c-4dYM22{;WCT`?0FdKPD-AdZ5A4(6LeUnEyIJp80J2LJr!zq0#DKN)Aw z|M|;*2T?e

#ER4?iLDjdq98D4t>}GEez%rqOO6N_xn9a{vUc4dQydRj^WQbQU7_ zz&D1zQTI82Em2hTMYsn5#0o>3_t2NGrGL){i};loe2zFYfW|-`&aqLZfh-B4Tj_Id`uM}uHQUu@3Q91;ulrBMkYJE4oW8c1;f-UM!m@Cdi%t~KCZ$8Co&BtJ(wpQvM6jwocf2wCmXv;Ke9cs5J}Jp94@fWLPdQxF>p4AWv<{j+ zEr95MAiqgq5Cof>C0R^VTGodZB_GQt-Z&cfA6v9e`NgYJTmon9-I6h8UEczrvL6E)BpY>)8D~^=U-+JTrL3* zN_Fp)wAKW;N7wNx6}s~0mfyHi7#F-6;+z+M({mWi9Sc`ql{F9da!R}L?40gpzU400 z%fc}%Y<>+&*Yw>>-kh2Uwa&L6{V;oUXyfFL?qSbx6Xu&+1NY!>7B@sw`Ci%HQhP0K zuw30laj6cfVMbXF+Qns8+U1svs6)uTs#zAzhsiEXJ;P+;-Qn`p6&F^JPz+<||7Y)i z+P2e1()Fuo`6`)?9nRqF71qM1B;83miQT(ruCinhMzM@&Nd!A1JdP-jk}wJ}#=> zu@QP(03z%Qx1^SgtwYHzMg2#K6n*qL3$Xs_)yU{%I};0VDdCe&dOb4^&Vsvx@-*_C zrSjXm@aDzc0t&%O?#UD%t^R=ZqzJ(M-@lA{;ue`K^56IxVdFMV06r=NXJHV3@007{ zj-oV|9A0Mm2EGAKPX{GJEf&~ko{uL4O|%>#!6bMs?d6r8kp@P^`5MR0Xhp;~^0}0W zQAY6Y#U7I2C~+TB;({#hC6nO7S=8D$>6x4S9z9aU_o?8o1o*7-Hgh^abRR{0G_~Re z&d7aW%l^>0dx7vHAy&1u58PsZvcIAjph=~F@Y;b+O{3AlsgT=Jd~Lv)supX;1hIUA zT?Am!bq$d+?)ExCmIL)O9wXE;rHm`eit!OzW4AZj4o#^IPUxy0Wxhq)dK%8fFhqfz zyhuIZ2gu?Gl6a;(C4UifP(roCz9}mL0tiH#^nxl+&R?I2Jk?~Wy7CZzd3oLW@ka_W z0h`>Ki2Qm6!XY-|5Qu&lf1Do)0WdWCma1}T{hc8WM?@_7J0Cbs_l1Sqg9hn()k^c| zRQM>n7g-3CPpQyfh4>|k%6gRiQa$eZ2sl&tBI_wJuqW)1rMY?jPC>Su2m+-*&p3u2 zbWu|1+5In&47Px2RBIuBFCiw96OuS>w=1oaEdMbya)2B(YL5}wi$V?vx1g&u;%Xo? z;$SM-g>}uhY-A7(-34}^&5S5w!ORc021d2Xj4x-MGO2cd2ZcLGAtD?Q^E$AKxb~|M zsjT96QHKnb&LBfDl$g*VL7oFKnFF#eE#h;WNZUt}pcB7H4n z+Ta;i!ANM43u$z;Nmj?f4Iwg-_6AjxPc&OYm&vEwpL$4|N+dxsU|`Yzn?h0NP`z=& zS^HL=naEno0`r*EGzY=A@Q48KOAB@^Z)FB7=jupcD1Y%M5%wF;oJ2h_0XKw@F`)zv zyd%ck_n_M2D+>L88Z_iJYvnTf=$VPp3PaKR)rdrZpa=>6te+rUFVUGehM!v}5+ETI z!$@KLQ5i=7ri;|dD+B>OV={H1V8m~hF|L_U3M8?B^y(y{@EMhy%vFCOwu`n|I zu>T{aKQ5c>?0Uj)#ryP$~rBD?IIrXP` z+^9Ft_Cg>eLZ}gNgft81T=+7tKz(=<=S=VOP-biHGAAVKpk z9^1B!jm?d1+qONilZ|aV8ylNs<78vo&PE$2H}Cs9_uPB_m`^o5J z@1mYss6PLD$yi)dme&)7zdJXJ9C^f5A(VDk!avy<6Nj&Y;d zr(o=*AtvbO*=VrKv}a3C@=?598uOC+kZvW+1iov;-k;;B0OeMrAA)Eeu72Ck6R;K@ z+dh~Y`|lf_FBw0-Yu{$BPe_W*;|#ik>w|1*%ZP-$B8VBfSzn+^-m(tiQ(Rc4$mNQI z3(*KMoU^Fk+no|vR!-Ltfl((uMAx#m-Wf-xPH)DL1j|}xRR$h}fPMqH&YJUXnxBGW z;B7q)EvCG>1C%lCVQDty7`gSN#ZpBwq$c3QU~%sn&?030gTS>M3QP0PnvzSx&QBv1 zn`ZE*OAD=LKUVaadQ2DlSr#qktsxgCz@RT)Q&ecFsrcpnD>BlDR*Q4w=Xj5ck|9{{ zy=ZneQdYB`+IgTfAz5lRjp6BE8B*6pcudM?(>Hu?n9c_3r7lK@-v9TuzBA$oQV) z`=`p(VRpXfP^>H1HdJVA)T>9XS6C}q)4{y}36RT8>s$iIk#OLHCPLay=y*rT@&p_H zL^?|4^`e07GS#HX3e#FD;29i|V81*VAo_0&ARCq`uxk?h#&B)f<_np?#d#UOe{FsD zxyE^lF;mzt<|yWq6fm=>-oD)wL=c_@YBiE=fu&K4S&#FCe+1)^l-qKYa7~)l*X2c! ztmR1Pi*Q=?3sX10(vllcR2ewJ%5l}?$>sWJ%rO{XX)g}ECGz+?)nn!`cKu>blXcdW z#9NpOx9?_+se(XY5U78h_i$zr?SMZfdi|l1->!iQnkYsys?5u#6tfZeAqzi68=-$T z4k*iEo3^frQ@JNCGO|Fn&jh{xn^}^IaRE)MQm#iL+Z+`{gGzS^T%VK;P*^Hqd6XKz zBx&=0`M#E!Xo2#AjXA=woFq?xz8gS?lr>?X9<-8s-$>mPveB53+&zuVKy&MEg_jM# zeN9y{OFN*)R>P@sW4gQMVg_=PjQsBs9xw$j=^_#%IQ``LTn69Q$8wyWl;G#LZsC)> zXkol&ha4vtEV7b}dEU@yPWrra3Ct~E9^J*vn($D|;kAiUl_(2>+F?6<5qaZE%|75+bYqE!$Ne!!7? zK>agkw3^^MDwyVzTgeVT*b)ujO;y};dt!o_tSfK&*{C#X_svQOmbUw>_@SMwKF_$o zN0A0~M-^g2;Eby#1RpUdSz0lZ#9lc}LW*gC7=_-qgC*m~7jv*LOk9U_dd171;)V zDmPvnUa2_5#S%j(#JL-T1kZKvR(dBvRQH?Lxyl=&DMMxm$DcY(M@ zG9H%k;@2AY;m!0d-pN*+lqJ#?ad=3XV)GH2bsN`9(I@G|*f2TCyS|h~EMw{)i`BO& z-mxyDwxm-S5B#mnwf;>O$iTNpHZm4Hv)a;i6Wk3Z$e}Es7!If3eA(e0zlGb8mb9vy zm0SdS2ikSdm_%I(1`L>Nz7~Bno(@`G$%K7sZj0=;)wM_S9kHE{5l2MG;}MYxBNXs6 z)x;&sp3i8z6;x{~{PV1w3Vx;&Iye}7n1gUN zRvz+2$Hg8kT@_r*Z{`}ys7%EO@nrm$WAv1Vt6a}T>dnV1Mh($3oO`4hd*Ov_m1o@I zVoT{twqiS3PuO)zm-bEsaz6Q4`89XjlJXGzuJoa0pI$ ziFN3un>%J1WH$8duVA+vFHnQ9`RQUneul&vBPqJ)`x zmNM^+CKnWl_}4!I9wrt=bGtYgu7s2e2rkV>NLu0uo2v}#wJQm^9@z_2fz`At>{U3e zvNVELuh~GEsg^Y?rP9m>)P%W=m;-yopA(qkWKD{=gmldKH$aT|U4QK_>U%N)k-<&d z)_i777k-x4Wv+nke0>w9$(Q3C_M1BykxXxV#Ln!T&cDzhyz1yXSO$-bh2zfUWt{gb z5ac3E^xkiWf=V8N-h@jV?HP~dNQ}thunTOT9{UwOC8i&A^``Mu?(J)POOmBk0~duu z(l!0B>Bk7_34rL}*gOu$Yzf^}FAc9&<==CaCVb-zog=j7^I1!1D? zm?3?1mQc!?Y*Gjxur0o}Kb^m5i4GhGw$%4NtDzdf1y?SwxA@49_;H{hF;SgG+gJic zL_f5Y4iJ!t(FvOyTMh568eZ-U%f+5Ef#iCb%Z05-A6JCf`U%Aa&hPauV%pEE|jSQ?yY)? z!ncgq#p_DQ^YQT-B_#wOrU^(BGNtR2E@Wp#j$WByiIy%Q^e1Mka@KG893=3!<#|M8 z2=-y`i+~2u4(b`N`&_G>>69o|U0XDH7-7IMM?~#%^zz=1faEO1Z$hMgmENr+zr%o8 zWc{Cade6^6qRx=wyBqmWg^A63i(W4{gMru^E$!^09=Q{;Jg zkwg+)Xkn=BJ0LoI%JuoWJW1>pkRlPyY6XNuZUCq9x@9zi(@lylRS$U)H*F6oQrKT!*$#LOkG%+d#G?w>F9S- zodm$uiVg{}@Th7ZmlYH{ zA1f557%goGHUaIhV1MK%7uC6~d;6Kz;btbk=@NqwX8{A z@;--X2}`3sh2O?FVMo_fSS}s#w~JDcFYIrTHG~Ud+M(-2Xjng4f;DeTE92oekpLNT*7V4{*^XCR-#U0KQ~B!p zg{*n2t)U4S@zIb%eI1FFvp2V|@84W@$h@LaY{Oq^CC=x>Mm!+wx**|GvF8h^;Rb4}AOUKXe_h$*A4o!?sTXq}rr+fjfil%CN2)^Nm zs~zuk(?8O6NA*4+#UpUoMt)mXES2Pt!Cj7mAI5%J1}37zz74edtJ#Xz`ScYb_(!j_ zttVP+Zzks=c3xv8?Xh}+h#Gmcmcl`71lFj$HAbuOQp1K!M5SgW2%S-oT`n~%_ZN9Og$8+uJff)ZAPgnkj zGM~`cf|e$%RJ+aRYuoVbSUpqhmh<^r&uGj=F2^U*(yzO{!eX=Bm$DaC$uu_7V`elS zfux)RN+f-y9BTfbH0bw`nu*c!$QyzLOZ$Pk`Ezlc1mU{`Zg{{o8b&7KmffPFVl&U$ z8Ivu%?af+}aV;|s9h|LvK`hdRZt>%|7uWC>AzqgP)C9gQ!Zxb+=^wk1Xwz`29ZbwL zs@JJ%kY}>rtqX=YYP*^YW~TrxTdFU{?nDY z3Q2;3aHb+kFRMRS_;u`s%lDs}Q!2s63j z{iRK5hy5Rob}HmCRn@}WGiYFUC|^cWWzII+5Gpd{=9p~6cchgQopbVFPAK7Hl zOaLhS9|xi%oexUWjTLHZ&Yek{pw$bqc5cGj3A2~eK+N=K_VP9%Kr8q|k`%md>H|i< z!h_~-sB%BvA3e{XqCwQLU^FELlPjyIAi5>s5JyAnxu5ftpCBDpOA3e#BhYU&3&Iqt zm;9iI-dMztNE?}HLjEu;1+D6d^NfpzDgdi$zD?PNOt;{6@F4iPMjV@i5`qn+gZ<1N zoU3qWd7B1+_m>&M4Td$Khg(d7AvN4vrbM<{k$h(TJ5f#+MB-Phj|sS4P$%LmABBO5 zQg9xOq@^^Gc18USU|V^jt5Z822JII>qaUua+2}+ntI`MgZEQgL6KT&tE1&N&0~w1E zuMd!6enZT%ED6H0)e0$8x9|SJoG$;6tG;|+n4Ty4Wc%`GK-Al-{E~f>h4t=a{mXm( z$MUb5R>MuRB&h@G8g3S7BNZCaVkQUSy-qIi?KXV%rbQcdzOjcV3eAi(Row(R&*MHs z0~;qBA)JHTf||l``i5HI*}Sh5_&#&lWUV~TOO&zI&&?S=@0`DGf2CIOQ^aaQt)d_% zIrk+U6VZYi13eW&mZN{!nElN;AhgJ2!5?|{#4G-#i{0o}iBYGuYs^A)rZm%_Df>)S z(uV*|wjQFeeg*8==*RZkGmKrrfQS-e=!FP{5eevvP0!YPAX*?kJ6c;WK*op#{sHyz z-6>nQwa2DG5~=1cYO&lpv0LXp4YgX`%FOcJF61F3Nqc?0p26nDp?LnEfF8Rc_l!7|`!fvxezQ30Aop{H_wE>(v&^%FXmrMsuN09P_S}uI$`L9ju%F-z1QC=p)GmkZ@UP_jS*CQK_Jp zUg=cfe~ww|NusFqYtXH_`?IkG^L|IG_V^>I+;r&zU*n`{mYXB?-nr^7F~ZOM;la$- z7n8UB9E6n0>8k5knSL$B(>$svJx;z1uSDOJNZN*J`sui|St^UG5de`wI3U`|kZ;zA zWNXPg3<2iGrpT@jEUod;fv?@rODP5?)9}uY-`^L4)5Dri{dlxqR!#aDkIBPoW|aaT zcQPYKN*nyi$A}-enUa!``N_yFT+S^HV?8eHk@asQu_?59AgBpHh$gyX7-VP!ZnPy- zRmj?t&i>ZS6+zs}uIE3$BJ7{6*;xZIV=C*d8mHMb+>u#;16Pfcm;OgJ=E^7@sJa?e zm?;j~TvCM;B`+toV%3yJd0TmD5!imtRVrb)^!Q2x(5|{a+=~aveqHrLjD&(7@2Hdy z5y6%DXC(%>bdU*y1~cmoBUDW$(bQH^q81z%=wPIWgsSI2DEgQoIzH5}94(Jhtb>%c z{W#3GkYC1toc|nhiLGq#Dh$=@!3c zcdc{wX7Gmv!%)YakN5Rq)(Oh7Z%0yg?>JFz!Cuz}xK}d;&x;w7ma7L#B3TVVSO}({ z!@~!qLC&Vng2Q{574*uestV+qz^X^eRO&67D!nm~l3{hix-z5Y?9ZC+JfLqC=)Gib z^mkN$Z;0#LO9+ZZJox@ZZH0#jj&>qG-&JN}W`^)@FX3;_-}&8iztyS4b$f#Nf9Fw& zV=NE9Y_8s#V|L(u6l|EPW}$&7Ub|#jBK7{lW@)Z3v%-YL53%UX=E9OOhPR@mHa%oE?60x`M4+Y0}8a+al33Oy$WlrQ1;BaDA|u zS!g5tGe=f{zGob2{yXTfLXlm&*mJZ5?k_iTV1M=W{-1)1onHnh-1u)jiR#hC<$17& zZ(_6OLj+Ciw|YsFR>tkyt_+I$O=)sIwd2MdSM12SGKs2kE{~Pzj%_@(Vd-brlXvXR z|C#?c9nu8&5^eBcflSbjIWkHW+^D-Nme46q3i5zi)_-HPnxg@$*SI>~icvI4@_G6R z$S(Kvvh>(u<+e`|?G*8zVw;{&)ISFFSaI|P+-~$=cEx)|{KL|a9%3|P+6d@bwIT38 zDy;n5Sfp!ou+bL+7;hnWfc!c0GTO$ItVaOflCUYr6lW-p)9IluNZM;;FA^UiD%OT$ zQt?%(I;K7@5$R5Au8ne0A%#64Na1b+w%DBpx2Cv}dE;l!8Hp`#$snSfEm}Nct_r@w znMl3NH|#!)mn?St($#W71TBj#yD$--CPu%oiVLg$mLwW`m>Qsa_zQj#_E~EF%*|{5 z!I!CU*k;M3hD%@r_Wf~C`(g$|Ts*+#QT%N@HmlbyZm4lLpBwdG#CDipK=v$1 ze}^e@C-NlMTFbjEAM?x~_ci3QyaO3_IpUL5n?0WLJm?DHY-IlBUxr0uU+TKKapgX^ zF-yMkydnJde8oG@Tp~Q>5SK|9d*XYB6C=SLZW>qrcgnH;yagMIEAhcV({OM5V2(YG zIPDlO$eNGIi87hL!QR!*DSP!5I28XAWO}dN^-s3vW#g$2z~$I)QLb(_llAR$^w}b0 z#Cg4M$V9#}I)jOU7y;S=kB@cw;*hpjYwA34T{J6ZX7IbPplfl#-OYBPfp3?@er$Pe z4%<*^9Gq`m*|Pm%>u-VDzw`F0AA6YnE~s6$UIfR;{=C>mZ-|4L?U2C&!5clWIiOX4 z;J3^+q)+hX z4IQD7-frmP_aeZL-ZO;fJWUaTS^hLhj7NF24BPm-H!&o_ZliSKvFMy4GC$lq>mC2@ zp2dWM*65TGmPg1{5$pg9$bvT}w%zX?Vh@gZgXh=6M4?*W?dp^+k&?9PHX#RN7-27v z1#S^{kQj*&$Imf#G&Kk*UpmH3h&=2^&CeCD!f(xc`M5@DSoG`sO^E^*8~!Ds>jj0R zQ%hPd|DO2xs^_%xH^2hZ6uYNv*NJ_GnI2@hesOJN8kph* zQ*y?nF+6dX7DEoS3UgrW#u7X$UA~(+ft3Ll3WF)U>uc3QNmwuzYb5hnzgDE?)Y_p8 zotY#O7EH7viBf?9IMK9Pj%nVB8haNDCEI6L1if&zYvb!{8pNGMcv(_1| zH>4vlKDcl;w~9-?vwLQuxe}7yPcT02N6=P7QBPSMKiMe)QoewX^o!x!3&NptBpwjG zr9|||i`*m}Ubo+l^Bi&jk0%#I?jf~nn#O1iKKwlKgM{ORm3$fZDN;6D&^9&QQ@A8M z@>=8=lN><2X(FRm%hP`+5QFPE34~ba4ih?0(MIez5l($0{<=uRrOlYjxAqM1D>UB*h}E!HdJ!y0EG`5gDiq3o?Td7Ql5~IdcK|jZdtDQ9D|?@k=WIa%Id!rZ zfoTkA3vf$H5i|DQ)s|3*wKA73n-y4Q_6kbr<^Y9drrsTe7(9mdL-D#=t(MCv9 zCrg%uo#bgbb&|~}pe{VDk0b@fW*&!GLPM1>r2P@wJGr#3A;$6N?Ko@mKpDZcrvHxmTBtqYzA&-O)P_=jbc1m+KbNg;%hK3>DL zKM?d|8yO=G=MR`3JPP=tg$^)vvz~m^{vA|hH`-s_v7)V^f;2y$zPx+B9)^5g&I{{w zhq8(!hl}{Fr+{&A_rs8$92OfYF%VoOQ>`MPQDu?xuCa=wA|ckh@nF`*&{a$-!D9xn zC)BW&?H8#Q2x6i^5K)dLZksmXvGPa*MaR{5_GtcXR7KQ$UTeNnqAJmft1uOE*k3X$ z(~2Ya+VYQev}7K%6-Nd)qgfS7D`-j?Dh>7Pq*Mg8><0~V+UWTwmDY^CD(IG6&V+g^ zO{BG4bBxO~^m(W?XjxSK_b6KMhtdMzekdh~!PcQ15n~oAOBSZTb^!`6bugDxsXt#$ z$clmTFfYTgz(t9#hUvSmqC9@Zr5-qBF-QTC zLsfQmW8MX+841brOc?c8xTPVs>V07$=Y%q@Xx0q-4#2sqSqu8$A9?MdwL128%r{ z>P#a)11MM<+u13U5C+65C&8)XWgmzO2dP^&z-)J9Pne=sYEsQCtC{|)xDo6|6MZd! z7gb1JswO6?|K-{*wk=+A*_#HGpahsIM4d6{yy=G5i67OgA#Lav>)4IORSF9R#?h;u@Dz2X)TKWxx@`wqdUYYDx=kt3nt(F#-iuyvwd5-WW!0fO{J=(Xc|-{f;5Qnj^Lk{>P}w75?x9Gt^z?8aP?aMI0PMJm$ORb zOv)dhMr6^&^e9JCb#DgzTuG@rM@=SWNK|+(--`1OeJm%zSD(WkN_W8y4mQQ!O#kN} zluSdu|6!WY>eA^m2?@pSEP2A9t@lvSw| zjpOUdqO+tx4owIQ3Bz1LWFaS?|c+Y({8G|Z7HuObiPTykIXsSS;n%K<3jPTU*I2>1@ePL z@s>4=0a_>7ggG)$Op?*<^Xy{e-$oz>dkyj)OR)Zc47DUH744`Y^w&^@Ya@Le)~qom zH5b~)lBPOXDh>DVxYa+A`{f}DEG!~%ii>e0w+K>hHhgmSVXPsB7f|DAvbb#1To8d4 zEk$w63l90&QHb&qdWoWQ%hKdLNEO}c8drVXiy1Qz$m|86^4shfie=9HS*@AeMF7&Y zVn9rgbYGPjc3LXk06t7tA6DLw!L9WfEGZ~YSdY5T)bwl=8U1+SNVQ?Wn8DaM&gG)< zyc8?6z|uLM=^Me;XCKJd_4&D>weGOSj1z!Kzl_CTlYi+j)Sz5Wc|pD5%&!(gjEHDEWDlne7kF@>5XE%xWx>*@F=3AQh%}?WYe1V_wJ$n%*;@6EkulZd&eYf!f{qF!d$^1Cqca8e+c!vU)st=?_ zDm{{XN3wCNb}@FbHg*IrhFNL~7zR5SjMQ)yV+)T8Oj97^q~p&)vq_>FV-kVru5FSx}pt^%7&9T+8X%9MQV}e5F%&x*(!)9D0a6pTw47wG%Av zRNDqoS&`j;M|W@UZRK++!~uD?duq6&F%$rxNW91_ohXUo^HOtQ-mK2QS#T+VJFpSxE`cSi zBEZK%lt7>f;w%I4B4grjMTaD-!H9ZCmHItggGK1g^O3V!7w*a zFek4$ua?J~(*;5&Zpo^M_-qV|2yS$!6d583>A^cK#_)&nMKBsC0(g8dQ;^#}v7?yB zaQyTwY90bRrk>tO^_Fa@Q#+z5@H!5Dg0qDuKaO2qWw z*iZYkprL6@s1&DdC#m0C=&GKdhbvWLITY3hmX9@u&hu+v`!{^A^V~x73KzdwVddqP zUE{bn10yIK6)DZ~o|i$_fgb{#fqv3?q2jvh{V#THDCx&Bt@dk309)7Hf>qs4qEZF| zqL%yjkVV7kz-?2B8U*XBfKd^bKQw^S;W+w4M3^Q@EQ2CJCL9|fl4RA7gwDC~&yulHOpHiWvJ!{Msrsl+3p z{fWuxOft<((D%|8Ydm8T8^kC=rN4`I7efif_6Bq?g)G=WSQ!U{akeg1_20>Lm*!;j zs;Tu`&~Z>>rTOeT7kwU7VawJ_KXG-lJy09wDId^?&MQOUmoje6WM#zvH00#oYo(-BAS~LcnWA6hv5BIhYz}T( z^PqJvYL2aBLCIapCfx5AD&54GQFe=TWgxI=Rp1^IlidF{T>jxXbuN0>t+Vc`_8KD0 zDB%<*X98p!7j9C>Dt#Ss8P?8)gY?$brTb+3$DWea0L4Ckxt>_VbpU6v3#*v~KRV#Z z5786P-#2ARCf+Ps@9h{Q!yr0<(NHxchv=>`gUB?p(qpeI%l~uV0Cq|6bFpsRj z$ASL%Z#mp*1Rsw@)F_g4V&aW$d(k>90d}+y#?Q#L_E`p`3T;cL9kB}7f(^x<|8=!F~6bYDTP61gpq5hZqd}&w0NfPEl4a>(^mc9 zKq$L8sx$%R>G&2=oAr2kSrmMFYr}s2d)wyoL$=1M1~=2M6f@2#X)1&WnXL3Aet|9d zZ_-ELY?!J>V$vQ1$>+asX1f_}0*y#u@<|7uOk%F&VOk3o?&4-96@S5nOylKPfd04& zvpF)r=i4n{gum6M!}YkQ_`@_B7?i|54Pbzq6j6Ha6e8(Mfx0tx;26*j5GHX+)CF?s zWXxEWDA3e^#%@cUqsxD$hNE%8Hf~azRI7I`uZcFQ$G32!)S9d^wvY4f4EQ$J6}eJO z-}-k%KzT&6>?NS}9?7LzQB6gjF72E%_`60RX7T%u!o#+VN29{@@L;F1m61G%2)64Q7H126 zN3$vaf0{M39w$rUmYGZK5pbBtxGA*W8z{BCc(VMo_q<#8tZmG7G6<#}1MISr<#3Qv z+3P92y#q4zk|&`p=*s#dlP($!abX55Bbj?w3O(_I8EGE;=-Ka7e-0Eh zL6vLw7yFiGb+ipDa9d3TZ%9!N=H(qeW8yL^B@Jhq2+K7eAn`90!qXPiQDP4&Mmvoh z#3j=2#9>k0<5r@>*@Eo10dp^6^FV3gkbUq4Qq+od>Q&3X47*fQ#%a-NEf4s*Mx^$? zM77l?1W`?IN>_zJgeI}vWuf&vs+3vMY$lzTPn@65UaAB{aUIqXFBri+T7Fk5OIXH^ zs0U%@@sLgs@{M$3W=q>mUPJn|OO#`GU59qw@$h^Z8buaya6$q z>UN0>^Y^T>?V)lpsm41kr=%y<^_Ok+Po2*oBqPN+p^?2Nr-nAxRzgsAye8^{SL)krl)5Z@-`t^(523{HCphZsPqXaNR)KRaZr>94k)lT4k++a@(8~s@HW3D2n+-` zlKPuxK{ybQ4%+mrJ_tO(VSg0WA2|VFz9;zc8h|?7bYk21OU?uJIsk-mwv{=FMyZ)2 zxn5BjZFzsS_&1eeQRm8{`*oE`&*$!hh5y6czgP9Pp4=NxJC8*N>`j*z|L)xP7ug<< zrt0?@yY#8f_13ng`pbZSgAsinhwsL{juAly>xQV&mjPRw(|NDJrC*YZ*5$LM$JXrjbY8eIS6>H@qtEi?8l$oA$%{PO#kTwV{*<-l71p0@ z555hU;Ys~g+J|d&ir+j>_`&Ws3ye%GQ9wxRu-f(Y+ z5xaVIn_mT_J(>i8hAwN3b=awZcgw#y2hYy#+g$I zP1&fz(4NSiqHN6&Ti0t3FIEsS?&~u*5znUEcASW`m(4C4O?Jdt#}9vHT>&mPKA+Q4 zQm|U?Vm*Ei|0bNZ4~Jz27~Qj0(e2vu$F$A9PEz-5eMJNyKhjI*pQqwf-4ylEEAn;M zbATRqesiBsW}9K;g+~Y8D7$C%VcD|_-@INV>fn^|hc8CNv*h5I z^K~7SH}&ZX-U>gJ9nNogboq(9ecTb|wN;~Map8|qdrUcDkalb(t?*BdL;&cy$!<7J z%H=*(4xvD=2#)1&3i|4{sGS<7NGPL%NTgYqeket(e3lN2SX2@Nza%YjybZ7OE27Z& ziOmjCt;z5T0vnd9Q8PNMNt1>w1J|DzM5iC=-%YDR7GPBgn`3ZIV%COXNW~%IT9lfx z@UAL13}-wr8}c^)^1)YfQic6=Zmim25yO)M#a01qypYn#Xc10EnK5tDSQn{ETbq!;Y3=yQ<|@~M2`LG8m@%dWr9fl1JT84zn4a)j724o!0T_(T7Z{#2RQG8O@7s!YgUMnMx&*Y?&;`x_gDpOX;H3iGHhDTl#D!xZ2LH|2^;dv2Y zWN#aN1A47I42iSfazrp8Ac&bPd52^tdNnI&L3STY+I_FD`+@AkgZEX=)(@iD(z6%5i0aFaCR6kr<3m z+N_N6AJ#Nh48M5GjbAht{D*u>OH`wPThP3bXc+34Smn>Stdh_WP8pPO=)}tmGmHo< zN7kkleEG6Dn2B1MPPrW(b!(*Bbd|&4%GMmnoKj_0Jj{ay7h8sd2$ChywHg$O@3}gK zWFan1Noam@L3^zxcF`5#iGS!aoqn677QrJ*rzEw(&(5iAL_HCh9zaH-7aDZ{-iNpg zoZ?>Lw*3>Bee&IVHp>2%HxKGKtzjTv2F{^GbU{p? zDH;2@DwyS<@>$M7+F?jC8QmoTdN|`g7xqR9At(esJu$<02-5sJbR(3z17QMLyT0qn zQy4dS{8-ru`7*T(FgF7f8$y4RD#GV(Qd0ImvNc6~G9tX9dBN5=zSsOhvAH%wU{PBz zSz{2#mJ4dZ`szHZIQ5vk%E974wzSg=mtXrST2YAYhkK~=D`o~)8XJ%R(F&&UJ7vR~ zetiPN-(d=A^%{FbaNl!=(Q4f+zgNS_Rf3rM?a1xeg^=KFQwFKX5~PM$Ea(Yi5jRh3 z6$O!zMIm>P^x-ne4~e`r;#J4LSLbkRTMxg zW;j>_6}HzO6>dff1n1N zVq!9YPVj0^-}K}%Y~sv#piw#@9r2Bgq5RyaxDIyg7c(@(h%JudeQ5ua-feQ@Rxn=82wJ?L2!?eMd(i9^!e zhD~y`n30Ix4w2de+HgU|=4lIh*eLk!)XoTFS%Ut;Ok?4)IjhR}Y4F`6Dz^AGe0(+m z#tMYUPs5{IdZWIuMb5~SlB{A3;AbFvvGkJizadiaPjyTf#n7E=#%EPLU>hh2ouQdk zn`^Wjw9N_j*=S*o4?38`T@UqYQDiENlYbnAU~UL0`Lm!_MfI z1($GNf_gktS6*lwS~&GLyca##l*RrNMU;rUT(r<3|3kFW2S^n{_uu-JNtLvaE&6bZ zTIHoPZJu89Y2(SkTtsJc9_MJ7`G`>0YC`lKWM~V${n3`sm8FJn^!`bHibTaNS}b=~h>@`1ynFp{)w8F5@|V3cxok`5I(~%(y!`#aF1V(A^sRBM!Db?tU>o%= zJ=yKu#JWEg?tj#0{3z?od~`~MO8R)lZ*@+oy8PVo{L_WLB)WYOu<^~>n>V5NI`^iw zb#P?SLT6iOdt1J%mA&o(@x;69?X;8_b*mfhgqhfCppKC8zF}2RfsOt0-^+5>&ElY6 z!`1aLK->LKwP}5J0kg;DbJWrDd~tuie?+-1=z}r)6qdolOm5URwd#LA6g6An*t z+aKh8_;N{~^S8g9ROKAcon>Vl51nP@9PgbLWgKjE0{*4X>{&vua`O)J`|Teywac4@ z`X8n`U$ZiYuMAxKGdQR21d6Zu<)hPVmgh&dmXG}1ncVotv%`r^o7s!i=4|ZjGM;LS z*;6A?&DT~ulj)Zx;!xg??yjG_h>urdPd+WgS8Ifb(}?s6X9yK0)9ed8fF(fX=?8O@4`T$D~y^uFIaCmwb% z#CI?o!r3fMz5Q#ipy{h{LWX z2Wsh_%{BO9!c2EV>ACm!w!Y8H<@7rWe?;lIAS915Z~k+KIX57{B?)-KZ+u8HED~7# z)iOe}HEwH2@vKFQQWJ5D02Q1JiXmAu=DYa+ItX;_e-K+ zUb7#4S@-!>_ll3;Ncfz7Fv}NeEdmU;v3!mJyFwFI=~TJtu1q%}JD^ZVsvA&UGyy<^ zZ16WdQiCJ|iXcrNXF&9tu4j+Ba%mklOs-e7+_1-K=@PlC+x&Y(f-QW{X0jD|muLR< zV&PK_NL*?w1QLKo}qc~>&C?{Hj?@EGYL<>HmVZ3T#qN*3$_pwl6A^Y z-5oglMfNm~gg0}^LUfQ_|X zhNzzWGqdJewVnBVPq^^rE@GWKa*xD6*S%ad8aD3H48I$B$yUTZtIdDQKA7YAG5kW1 z+AO!_vq230P~c_OIDO#n2s(Qav$moC-B_Luja$!MMbO3!w8)x$(augF(sxe0B_-kC z!=EstL`{JzDFEWl3Ejoc6Mc}=QpB*ZKQFmE*h>Ap7W7mX4(GMWLN`47mPKO*J29k_ zqiP)Ux>C)YL8bKp+rH`{bB0C+gTF{q(Hb>-apf10_(ddFd=Y_PMA))m;c44HSoz#k z#U~;(&Dm%u8pP_bca##+!atg5O7)~)D=3Q{z=O#(?Z1E7NDmXgjWrVw`E~j{h(@_C z3+LfndxUCKx}Hg)iU0h3v?jRBv-!PZX?tu%*0*-WWq>A(pJZfTv;iwY@*QmT>c&mU#T)_I;eKW+cvkm@)Kh+7oa%b z`H5N75ScYRdCgtX0TLuygEfmHzd;yG*KYwgSxdOu_xmXm-R(O6Y{lYj(wykO_aOp} zK=TiqcV4!sQfwj5nzZF1@5^sR%+UZba-kM@%RRHR!7sD{2e|1Nt$&W$LB=7$w>-4kyrz@wXZap1mjzIJfamkRp|MBwt!R|GD4_S+1%APG;G_)7LdvG*OF zAY2501ceZnLp&(v2Uo z?7u%|W6?~*&ApdFMmKrD^~$}-!`Cdml|iQcvdwTWajBXp$$2k>qZnH^k+@7~gH+-> zum@d0^4106puYA=_&2%^HjxS7QP22xf|gH;pWwu0D1bTbC7kvhT`czj`2{I^J9>w)Al zu?;efjY~>F{p;1yOd^T|nJp|~uNZi)lq9aY7h;7kT;Qt#{{svulKQ<0GOZ~XPAjRK z@#kMGY||YrtBr5T|ADvoFW)VqU+@37DXzD5(AGb`s^xs;sjB)9?DRcJklGhBE=S=i zx5K}m2LFrto!48pfZMiQxFYG8;b#t}T7S}keNfoHxr{HC|E8G+0eb(1p8OxK|I?7H zWKRJp->+JSlZZ*G>i>Z(gN7|hYJ*H*`!C~(|7HCD=KDXDYYM>9N_gRG{v!ey#3q(6 zG43)-g6z_{D64)6o~8J|vf=Sw4r!L$!qS@FAmLG`bc6>;EPWFGx6t34v3s#wKFC@v znZeet5r#AomjeAmlI%dAA+ATsqY8~;768II6s5@-&{-p*R@EHIS=W&(@cW=&!Zn4# z>NsLgr_b~OrNtO>2vnH)2||84Zd*+vgqJ+*m2c@>N{1zB9LA_vj84JMW%a%^jB7DW zJAk#L(x}+PvR5Tq+PZ(>0Hr~I7;)KyloNSc{Eda*?vV10Bh965jIV-XdGhZ4ee5*M zaIpRog2=$F^}nCrWFV*EQc)Zazk4rI>oArg+Cmebq_>Est~6O;GsnbXv;DF>q~0Sk z2r#T>OLShTU6nKsphjiq5ph zI|s&oKYUF>oD8ZA!cG2Mk;t{IxH4&v*Kc^l$|G0zuny{`PLVbKh+84563pcH;HlB_ z{NQM1q~YR23{9al3NmO>0iuAn437E75Tn35%5z0pRWVyo)91PrS~&OtT4HNyf1sH1 z;WT4J9*fqKh5*7^G?{i1WOGwFb$qu$`5wqtLWEU$| zrl1t8I<;6_cwIW$o{%=?u~YESEI^aWTsvAvP~;hv#y~-nl$`!)e=z<9nx;dd$t=L? zeadum-~keL@CT8KF5cx-Tlhg@BcIy>I5IeZ*{LILjb}ggXGCk=~n8t@1PAfAU`vk15E*%0Y1Go0a^v?G6D(hVgD0pOt(Z zs7&iV(VRzwnDH^1p3|4}s-ytZ`?(X=tpI-{^?Hc(iump^t@=o{Q_aCzNlrzB%d?@|I0*3kaKGYvhY7ou#Lk6PxqVc6jxQY0cWvaLEdtr^?doc!b0ORr0%m4RsC6Y_3m5JE5NL9{nCpVC$>^3>0m&5MpYdC(v65vB zj>>8j@?gLLx2!wDOkD0+t10e6W))%TpiWUVR10Z7LH94gNZtT2K?zlIFO>(mi9h%( z;sPfZJHT^W0g3lx5qQ~vAEa5^wT2KUI#||9_09}c!&f5Ao3lRRof4@w6roa0P)@|M z8#}2YTbRRA&yfwE>_pz8TJurE&DdAMe-rx<88f4eG#KkmY*{>~vFyhLl~wLn&$2xm z!eA&Srr%!5Y|+4*lM4FqzWrt?!p~CVCQf51-ChH|TG)se4P?6%gy{XRwyry_iC|j? zNC+LIw}>DRdgurUNbiD369nnfM0yEeqzgzd0fH1M3esCZklssZg7n^`D7`Aac<+0U z`|i7E|Crg|`R45Yva>U1XTEdt!$WA#j1-pE+3@mQNTvDp>frkkO==M%R2B1W83(?B zWm|mmm*8_sT}qCr$*i_b4r9?XEq?77vz4#upPxLzpEb1YpH_NtDcLy~!kQY!r;(0* zC@7*QIrO%J0&B`8oWh#Qzgpue25fVb1M;i@~iN7J{VQy3WJ*o;8Sx5yg3bP&?zWy$i;f(Tvx+apJE*Y`kvp5W2 zdbq)9YY|bTa_MeJyt_{%{rZFRd*3Id=Eu|R4foG&76`z|q+6LZZ;V9sXn$G@9$Uz{ zgUPHKwemwCON*BXeo?3=c733Ym7|aPUN7Os-M3^%sgu)_l9`T#J#KoY*3*-TPZ>9c zKkfvp=Mo4m3}K8z(QoPu1>s8aYGyJCkLMB>%x6_kE z&&gEu7BosE;2C88a_Be1z&<<3RN2vuTgm)htodkQ zu?xf>TJWC9NM&n#?@+0!tsQ+b*6gs($+g;T3zRIUtHO*SE=!_(k{L3m8`6*wPGvh* z)6ytRy3KhV6bZW@62N+Ot@=BE`4NiMv^IFkq?ZZN&QMsuow(~dE}T&GL#F_7Nxdgv zTHtp(sP$?$88Lfm7<4vpLHCS+q8&qe1`4=W;6L`{jE!vS`>Yj|=t-FcF?4h>9*Ke2 z&>iIPXHmpUjPJ5t;V58(budFD86ro;HMu;wgzvplkFI^uFcF)kZikW?LEAD}{2|dPjP`Fzl&{5MGl{`X1ZnJLmx@ zln&2JPS8FlSH8!nXX?l2!xgW?^ezb=ZazOJy#AHPk!(Mn@?VD6H?FGogU8hFG% z?l5HRFLMoY0_n1Z570*6vKO=rf-A#14hRq&#G*L!7WGa9I*V))L4Ss$p7`8?tN_Ra z@K5vVPzhGc!GrM|E+1+>F1(4>Q7<|mF#oL|x}G_cH!>#nRFg`ZyY>y7y|%dOswh7@ zZKwsG$b$Llk>zSg@@h3UM zQ$n`Fx1nu)KQr8CTP2=87fz($)nae`uF1@gBM?lQ<{@yHv-qBS(Qe#le4o_RL5(dq zX$}1cyC47mzip$d?T4Qc0syr>$c%pMM#{X)tT=TuON9>s$!=BUH9y#k7#jPU%uL7` z;$1qW!KWn`&MrM5a3$;?Dr5M}GU9!{9;fo}Z_c@>d$h`Wn_KalKc$a%(1@#riM~iH z2@*q*3zG~p$u{x1hPH9X(;n5G6v@UYD(R_dbNYLqrlYBb7n&~)BEAhvw2dNM*%0*y zMBM}{C@RsPNo%em**&vgR%Z{qd|h$v14!;JK3sA-7CqbBDqmfm5I-jOUXiWOLNyK2 zs0-Uo3obi)zYRt?zcFfVjA_3YprwmM?H`QJOHHdT+;tR&{b(RWt1c2IdUpG*`RL-ivs4S4kVUsaN*M--77)L4=_B5N%YbN;;xp zl$7Gx$h~@+=H0y|ZnO5{ghj-=nA^+hY`i3i^gn7=OLxlbT3XJ@S>JGYn&IVX(#-+z z7bN4vD}+%}5YTO$w6NPLHt)15F^;{T-&K1BO8e^wviCJ+C-30+LY+)7v6%zxM`Ig? zbF=8x7=;5Bo$}ULAk(dx2jE-P2(U#88(m_DmBGwhltFsVZ$hic8e+sQI;g+o#aamrM7l z4*k5nh#U8(3sSED<|p~^Tiz@q`en`Ps7BY%O&gUM?q^PD^4GuB9GpFEbw?p8Av+d& zHt^)y+QK0-W2rgWiX225_m*r9tlos@#gF#>qxG2eqg}^8fq=|UBDpl)EP|qChZ_I1 z*0_sj5l*>)C#)J-zOU9Pv8H7~9PPTJNYUjZ&20szG>Ndiq3gj^nOSn-;qTaMm?^NA2dyDy*YHa0lF!VoD1^^pjVqm% zTk73fr;?p%vIxhu4@m*2lD!NXiD^vlb)Q0D{+d>*E1KqPZ>pAvhTTZKSU?l4A=hYV zB<_>ZB#xS@4N-!xt0b7~)jN^QhPyes0v;Io5YYQBS5tlQN$iXy;`DlBmP9>~p6{H< zrTD0MPZ2TpGWR9eIZA(0I%@7ipk#`CqSBLS_hsF}D;gBLRFjhzdnC$i#Q6BQYHw;y zcVo&|;RBkp2*YEYq|_y>N+lJdeCyd3S4dI9$Y^EfqfnQ&_Yu6FUK^xWq>o0M4ni@` zI+RgMZUOA#>iSF0qPeD3Tr0#7TM>N%NW4mCp(6s%h^Ju5K(Y3L%;FJs03)Wj7qM8p zQ_C};H4%IJdB69E{or$!_+e^q-FyYdqs5RyNO*4=Y1X9+6<*$$^&QjFv?zX*eEQ7D zZ;XiOe!3=3WH$v=dm**pu97*}>bsi5;c0q!9bebvyVrVBhMxlhQi)LHR8ZK0p+=<_ zZw?|kHieGmnMP$8Kbxj%e_Y(Kp7Szsubvy_@(FQEK}7DO+u@uqegK>rm;LBTUF*&= zippZVZfW9b7W0U`%B5hl?>#l=O2Odwd`@2!Rq54Tfig|U){L+!?xO}?7PKb_;Kg^5 zGHeF`@UKWCA;S8A{z)nLUC&M@AWhg0#ncAnF;on#D-&u4LGn%vZZ(4;%w zy}Giqj69)b1q$D|!^)U4s*Jav^p^8ZYNPo`*&?3UC6rvNAs-gGZYU51R%9-%Q%ms} zI($JBm5zL1zLP`IX}I;V;(hH1;Xlp^-c1n&_T#LKeVbv@>@-sh>Y)gceWK|Emf zD0)crg=-Shp_olA6?^$+C-)nmu0@$VP;bk4EA(Ups;fgwLOZLddh#;g^8VY1<{TQS z9B;bt6cy3p*t(@4xPClzLjQ+x+o@eMA078J$ve}Kj4iKlkU!NAlapZ%!%#)&)S5S_ z)3wj`J)HZ2)llPJBn)O%!ov*MvcWd#spK z=tIs6J)=4OzO?H8%c6zm%(Id;Q~w&;5;wBWcd?6}wat=N6Z<6)y^2Drn)r~OkJs9z z;$wa?18GJY7$Xxm{cE*tM@n~)V=?;KP(6ub>B_d1QA)7bT)MEnohGGxkM_ywqW>3} zaCqXT8b3SYu}~^1>*TZwjzBk+OD0e1Y7LEvkxZ4s##b+ChuGlxS;{kcDdM@?E$62o zVk6=s?ShM~tyNJW$&`(3NQPF_^LGqs(p9!o`@n%Vv9iI(r8}Xq49=@Y>N*vfgsG2n z_@xLTB3#{cpA$5WY%fDEL4X&wwx!xBA~9r<**u8n_%hDm#Bp1yQZ`dF!ywP6Jqq6D z;KpbtSMh-1OZ#<`M>j*WiW5r}VQ@xl;&>9D znEcaDXM-xwdS%$d@UqyH-}@FRCCt{Nk1hP%=mK@-o^Y66!Qg_0m>g%9k1H-GDMPQUeG&!T6?ns_`xoHzO}pqs$B7ApuK)D z_Io*=!F{_8KH)33%~ds``@{!1zE;0H8ed+6|C2N3DTl^v0D-u`W6rc;R08S*^;z4)C`Kb6|J!M{W0@%eLnf}g|EP_j7^^_kiSoyQ%zU@9}|~Dadi=6*|_Wn z(V5qeN(0WKR=~*X@4ySft!o#jmsmvTamr~;((qc1;NHWE{`*lXpK;X4-J=^gyB*4D!RBP^hL*3QCXiF zk8wF@)9MK>zXq@N zgIC)GlCJoQ&g;a>TESM*HbdCOiJ?WB-kleS3(K6=78dkFFz3cb>$vsQOnRK(vbyfMQ_w@Vz8E#X5DPQk-3TldIB+r+?d;5JWGm*m2^H(mipy&4Gp<@;F#A z$!UAAs1)lcgd=FAs4stkbItF|r632+y)qGVMt(|niU+spelE&~XiI@j>`Hp>z8@(xY@Z=ecvudbuDGhRJiwjk)nO@nt4~nzb`i zB~_d>fO=ztOL&x~Htqa&Fubgx-2fr|F^1dp%gW5_H?H=>1EC}S_u2aQGe?BXe|`@Q z)efpzq79YSwlT*vB(5)iG|8(!#Y^#YaQk{Tr_szE#)8|>6$EkSYDQFCQIsnY{H|?Z z7-aZjI97)_Ug6fSz!V7!$Q>hwnJAo!fWT);kboCP3tH#t1d2q~QVNtpStKo8zm>MK zSq~ZLFjXJRTI3GBT%d=Lq49c1S^cWoiRa$)(H*j8k8Yhm zu)#`EY2L6GyQ9P*mWb%LTO|CWHH3=(BtqfB=@o%r5(nrK{IrlU?h~|mEtb*H-Noxx zi{ra>s&jhwYIh=DX&%>szfxMnL$CQG#9)G4Wm9b-`|6{B3vG&-`r7%(lU&Ldhh$Ev zc@P1rk>@*-60Sy0M`v&s-I>Cny@tw8nStacIq@ddfDfHhBC;JLBtMevz?MiH#=HuX ztYnclOt_wt_y<^ujz2NqtN*xJTED(j`S*QZ03n0+fJ_MeA)R(X>K4?w9N(9LZe~GR zd_q>=wm2?0%(N$?CQ#EC7^4ZRZ#G zh%)MD<>Lz4H9F~)^g=iy;`iXAdryLc?C7X6<%mECZP&@#%-4WS-g#qti#K;|_Joa~ zZ)VoFS245spT!8h#$$XnROv(IDZ)FJv{X^5`$nFg5$DpEuVBZ+0l(;2h9ru<(Nd>s zHd}j)JSI)C{Jfx3FMvMVUiW_Fq)+hi_74}`wy$}m_h0=-B7QL5fItU2cNNHqgBWm` z6{KjQ+ma*r+*3pS&5a~OemSQ%_XUaZLkwSSRU|f*t;^f`XNv{Yyq>d~2 z8D8Lw@-q-`1KyEP@h}yOPWn)CA0zzjP%6Cj=)LiJ&)A|#G7$y*nZKrk z;RlR5!5_!s5fkB^$s&pO9wctAFKGG@SiNFWj%8isnQqSrponqCb3M&kBEPd5E1{QU z|EhES7PUz>=y!D8(~W6zhC83p{c&SX4)IUlzEB)lodg}fADg0-m4PA*t1^o2A5QR?^|26*jv4^`wn--c>{p zbY|03OknBxR73wlj}!dpL^wUOqq@6eQe9cPF^hkr`dBcLad8YsUK=GV(hNPv<8MQ| z@j+6i6$5`d@uc%V3EJ9ZsZ~nh=%judEYk;TFd{t0hR@h zRKNp?;HFk4-Rh)5M&W^AxYV84Iz3YCFPGR62x7#&xAqTZIuJyY1YM&bxiRE?{SOT# zR)Z}23zeZp_5(p&N#_?7*tzn5M2!mvsRRUZA`h_`99<+S2&9M;iG1|8Xhx>|EjEzT ze+vsF1Rtb;qlUEjTZAI>@IhQiEVubzt#RVxB3-~(Ygo23BW`aTauXjUk4ut;F4C6(`z&s# zD*yobjTT!Y>qnjwfLNJt76AVlEpY?do(S+{}INJb(M1@r&mKckI&Y^@$6g9t!O z%)!_p@B(|ESJ)BqZ!pr0$nPP=2tjPf5+V>Ip6fmk>HHJOM60U_!vBlmz#eJXrBM*} H0090AYsG?b diff --git a/wsjtx.iss b/wsjtx.iss index f76e9b684..3233bbd02 100644 --- a/wsjtx.iss +++ b/wsjtx.iss @@ -1,6 +1,6 @@ [Setup] AppName=wsjtx -AppVerName=wsjtx Version 0.95 r3251 +AppVerName=wsjtx Version 0.95 r3278 AppCopyright=Copyright (C) 2001-2013 by Joe Taylor, K1JT DefaultDirName=c:\wsjtx DefaultGroupName=wsjtx diff --git a/wsjtx_changelog.txt b/wsjtx_changelog.txt index dc322f77f..11442e349 100644 --- a/wsjtx_changelog.txt +++ b/wsjtx_changelog.txt @@ -4,10 +4,53 @@ We are getting close to a stable "Version 1.0" release of WSJT-X. At that point program features will be frozen temporarily while we concentrate on any necessary bug fixes and improvements to the -decoder. If you have bug reports on version 0.95 r3251, or important +decoder. If you have bug reports on version 0.95 r3278, or important feature requests, please send them to us at wsjtgroup@yahoogroups.com as soon as possible! +May 17, 2013: v0.95, r3278 +-------------------------- +1. Double-clicking on a decoded text line in "Band Activity" window + now copies the line into the "QSO Frequency" window if it was not + already there. + +2. Option "Color highlighting in left window" removed from Setup + menu. Highlighting is now always done. + +3. Positions of "QSO Frequency" and "Band Activity" windows have been + swapped. + +4. F4 was restored to its previous use; F5 is now used to display + Special Mouse Commands + +5. Small square between Band selector and Frequency readout was made + a control button. Orange indicates one-way CAT control from + program to radio, red indicates bi-directional control. Clicking + the orange button causes a one-time readout of dial frequency. + +6. If Save=None, the last recorded file is deleted on program exit. + This prevents unwanted accumulation of files in the Save + directory. + +7. Status-bar messages were re-arranged in a more logical order. + +8. Tx signal report was added to wsjtx_status.txt (for JT-Alert) + +9. More informative labels were placed on the "Tab 2" GUI controls. + +10. Better default scaling for the "Cumulative" spectrum. + +11. New algorithm for identifying JT9 signals to send to decoder, + resulting major improvements in decoder speed. + +12. Bug fixes: + - Incorrect displayed frequencies for JT9-2 signals + - Infinitely repeated "Error rigOpen -1" messages + - User tries to open CAT control using busy or nonexistent serial port + +13. Many updates to the User's Guide + + May 2, 2013: v0.95, r3251 -------------------------